ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:134.91KB ,
资源ID:8029289      下载积分:2 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8029289.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(乒乓球游戏机汇总.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

乒乓球游戏机汇总.docx

1、乒乓球游戏机汇总EDA课程设计报告书课题名称 乒乓球游戏机姓 名 学 号 院 系 专 业 指导教师 年 月 日 一、 设计任务及要求:设计一个能够模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分的乒乓球游戏机。要求:用8只发光二极管代表球台,比赛时甲乙双方各在不同的位置发球或击球;根据球的位置发出相应的动作,提前击球或出界均判失分;乒乓球的位置和移动方向有灯亮及依次点亮的方向决定,球移动的速度为0.10.5s移动一位;甲乙双方设置各自的记分牌,任何一方先记满21分该方就算胜了此局;当记分牌清零后,又可开始新的一局比赛。 指导教师签名: 年 月 日二、指导教师评语:指导教师签名: 年 月 日

2、三、成绩验收盖章 年 月 日 基于EDA的乒乓球游戏机电路设计1、设计目的学会运用EDA设计各种电路、系统,熟练使用工具软件,把所学的专业知识更好的用到实践方面。2、设计的主要内容和要求用8个发光二极管代表乒乓球台,在游戏机的两侧各设置两个开关,一个是发球开关,一个是击球开关。甲方按动发球开关时,靠近甲方的第一盏灯亮,然后发光二极管由甲向乙依次点亮,代表乒乓球在移动。当球过网后,按设计者规定的球位乙方就可以击球。若乙方提前击球或没有击到球,则判乙方失分,甲方自动加分,重新发球比赛继续进行到一方记分到21分,该局结束,记分牌清零,可以开始新的一局比赛。3 整体设计方案3.1、片选信号产生模块片选

3、信号产生模块是用来产生数码管的片选信号,将产生的片选信号输送到数据转换模块,以便其对输入数据进行选择。3.2、核心模块核心模块有两个功能,第一个是实现逻辑功能,即对甲方乙方的发球击球 情况进行判断,然后再对双方谁得分进行记录;第二个是将整数得计分转换成十进制数,以便译码显示。3.3、数据转换模块数据转换模块是将核心模块输送过来的数据通过片选信号对其进行选择,并将符合要求的数据输送出去。3.4、七段译码器七段译码器用来将输入数据进行翻译,便于数码管显示出数据。3.5、总电路将各个模块产生的符号文件组合起来构成乒乓球游戏机。4 硬件电路的设计4.1、器件选择设计开发软件:MAX+plus10.2;

4、CPLD/FPGA适配器板:EPF10K10接口板;主芯片:ALTERA EPF10K1084-4;4.2、总体框图乒乓球游戏机的总体框图如下图所示: 图4.2 总体框图4.3、各模块功能及其仿真4.3.1片选信号产生模块(图4.3.1)1片选信号产生模块是用来产生数码管的片选信号,将产生的片选信号输送到数据转换模块,以便其对输入数据进行选择。 图4.3.1片选信号产生模块符号2图4.3.1模块为片选信号产生模块,用来产生数码管的片选信号。其与数据转换模块的对应关系如表4.3.1所示: 接口序号数据转换模块SEL2SEL1SEL0选择数据000d2001d3010d3011d3100d0101

5、d1110d3111d3 表4.3.1 数据转换表3CLK是扫描时钟信号接CLK5时钟信号源,A2.0是代表扫描片选地址信号SEL2,SEL1,SEL0的管脚同四位扫描驱动地址的低三位相连。其VHDL程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sel isport(clk:in std_logic;sell:out std_logic_vector(2 downto 0);end sel;architecture sel_arc of sel isbeginproces

6、s(clk)variable tmp:std_logic_vector(2 downto 0);beginif(clkevent and clk=1)thenif(tmp=000)thentmp:=001;elsif tmp=001thentmp:=100;elsif tmp=100thentmp:=101;elsif tmp=101thentmp:=000;end if;end if;sell=tmp;end process;end sel_arc;4仿真波形如下所示: 图4.3.2 片选信号模块仿真波形图5波形分析:当CLK的上升沿到达时,sell按照十进制数0、1、4、5、0变化,并且向

7、端口外输出片选信号,符合设计模块的要求。4.3.2核心模块(图3.3.3)1coma模块有两个功能,第一个是实现整个设计的逻辑功能,第二个是将整数得计分转换为十进制数,便于译码显示。clr为乒乓球游戏清零键,接按键;af为甲方发球控制键,接按键;aj为甲方接球控制键,接按键;bf为乙方发球控制键,接按键;bj为乙方接球控制键,接按键;CLK为控制乒乓球行进速度的时钟信号,接clk时钟信号源;shift7.0为LED灯显示输出端,接8个LED显示灯;ah3.0为甲方记分的高位,al3.0为甲方记分的低位,bh3.0为乙方记分的高位,bl3.0为乙方记分的低位,以上四位都在经过译码器译码后接8位共

8、阴极数码显示管。 2其VHDL程序如下所示:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity coma isport(clr,af,aj,bf,bj,clk:in std_logic; shift:out std_logic_vector(7 downto 0); ah,al,bh,bl:out std_logic_vector(3 downto 0); 图4.3.3 核心模块符号end coma;architecture com_arc of coma is signal amark

9、,bmark:integer;begin process(clr,clk)variable a,b:std_logic;variable she:std_logic_vector(7 downto 0);begin if clr=0then a:=0; b:=0; she:=00000000; amark=0; bmark8 then if bj=0then amark=amark+1; a:=0; b:=0; she:=00000000; else she:=0&she(7 downto 1); end if; elsif she=0 then amark=amark+1; a:=0; b:

10、=0; else if bj=0then a:=0; b:=1; else she:=0&she(7 downto 1); end if; end if; elsif a=0 and b=1then if she16 and she/=0 then if aj=0 then bmark=bmark+1; a:=0; b:=0; she:=00000000; else she:=she(6 downto 0)&0; end if; elsif she=0 then bmark=bmark+1; a:=0; b:=0; else if aj=0then a:=1; b:=0; else she:=

11、she(6 downto 0)&0; end if; end if; end if; end if; shifttmp1 then if ala=1001then ala:=0000; aha:=aha+1; tmp1:=tmp1+1; else ala:=ala+1; tmp1:=tmp1+1; end if; end if; if bmarktmp2 then if bla=1001then bla:=0000; bha:=bha+1; tmp2:=tmp2+1; else bla:=bla+1; tmp2:=tmp2+1; end if; end if; end if; al=ala;

12、bl=bla; ah=aha; bhqqqqqqqqqqqqqq=1101111; end case;end process;end dispa_arc;3 仿真波形如下(图4.3.8)所示: 图4.3.8 译码模块仿真波形图4波形分析:由上面仿真图可以看出,译码模块完成了对数据在数码管上正确地显示。波形符合要求。5、总体电路设计及其仿真5.1总电路原理图 图5.1 总电路原理图 5.2整体工作情况sclk和clk分别接扫描时钟信号和时钟信号,其它端口接法如上所述,SEL产生片选信号并作用于数据转换模块CH41A,COMA为核心模块,实现逻辑功能,并且对数据进行数制转换。CH41A将所选数据送

13、到七段译码器模块DISPA,使得分在数码管上显示。 5.3仿真结果(图5.3) 图5.3 总电路仿真图5.4管脚分配图(图5.4) 按照管脚分配图上所示,对各管脚在EDA试验箱上进行连接,sclk接clk时钟信号源,clr为清零控制键,接按键,af接按键,aj接按键, bf接按键,bj接按键,clk接clk0时钟信号源,sell2.0接扫描SEL0、SEL1、SEL2,shift7.0接八个LED显示灯,q6.0接数码管a、b、c、d、e、f、g。在乒乓球游戏开始时,先按clr键对系统进行清零,然后甲或者乙就可以按发球键发球,在LED灯上显示球的行进路径,当过网后另一方就可以击球,数码管设置了

14、两个记分牌,分别来记录甲乙的得分情况。 图5.4 管脚分配图 6、设计总结在刚看到乒乓球游戏机这个题目时,觉得非常的有趣,就选了但在二周的课程设计里,使我认识到了并非只是单单的有趣,对我来说非常难,那是因为自己平时学习不是很用功,造成了知识有很大的断节,并且也使我深刻地认识到了必须踏实认真地去做事才能将它做好,在这期间得到了老师和同学的帮助,在以后的学习中,要努力克服半途而废的作法,好好学习,将知识透彻理解。参考文献1 江国强.EDA技术与应用. 北京:电子工业出版社.20102 梁明理 邓人清等. 电子线路. 北京:高等教育出版社.2000年3 郑亚民 董小周.可编程逻辑器件开发软件QuartusII. 国防工业出版社10 张俊谟.单片机中级教程M.北京:北京航空航天大学出版.

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1