ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:36.41KB ,
资源ID:7793389      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7793389.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字电路基本概念.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

数字电路基本概念.docx

1、数字电路基本概念 数字电路基本概念第一章由于模拟信息具有连续性,实用上难于存储、分析和传输,应用二值数值逻辑构成的数字电路或数字系统较易克服这些困难,其实质是利用数字1和0来表示这些信息。1.二值数值逻辑:常用数字0和1来表示数字信号,这里的0和1不是十进制的数字,而是逻辑0和逻辑1。2.二值数字逻辑的产生,是基于客观世界的许多事物可以用彼此相关又相互对立的两种状态表示;而且在电路上,可用电子器件的开关特性来实现,由此形成离散信号电压或数字电压。(1)技术上容易实现。用双稳态电路表示二进制数字0和1是很容易的事情。(2)可靠性高。二进制中只使用0和1两个数字,传输和处理时不易出错,因而可以保障

2、电脑具有很高的可靠性。(3)运算规则简单。与十进制数相比,二进制数的运算规则要简单得多,这不仅可以使运算器的结构得到简化,而且有利于提高运算速度。(4)与逻辑量相吻合。二进制数0和1正好与逻辑量“真”和“假”相对应,因此用二进制数表示二值逻辑显得十分自然。(5)二进制数与十进制数之间的转换相当容易。人们使用电脑时可以仍然使用自己所习惯的十进制数,而电脑将其自动转换成二进制数存储和处理,输出处理结果时又将二进制数自动转换成十进制数,这给工作带来极大的方便。3.逻辑状态:客观世界的许多事物可以用彼此相关又相互对立的状态。4.脉冲波形:当某波形仅有两个离散值时。 数字波形是逻辑电平对时间的图形表示。

3、5.占空比表示脉冲宽度占整个周期的百分数。6.上升时间:从脉冲幅值的10%到90%所经历的时间。7.下降时间:从脉冲幅值的90%下降到10%所经历的时间。8.脉冲宽度:脉冲幅值的50%的两个时间点跨越的时间。9.数据率或比特率:每秒钟所传输数据的位数。10.时序图:表示时间关系的多重数字波形图。11.存储器:用来存储二值数据的数字电路。12.正逻辑:1表示高电平,0表示低电平。13.负逻辑:与正逻辑相反。14.表达电路功能主要用:功能表、真值表、逻辑表达式、波形图。15.当前两种主要的逻辑门电路是组合逻辑电路和时序逻辑电路。16.逻辑门是数字电路的基本单元。17.数字电路与数字集成器件的关系:

4、现代数字电路使用半导体工艺制成的假设干数字集成器件构造成而成的。18.数字电路从整体上分为:小规模、中规模、大规模、超大规模、甚大规模五类。19.集成度:每一片芯片上所包含的三极管的个数。20.十进制:是以10为基数的计数体制,任何一个数都可以用1,2,3,4,5,6,7,8,9来表示,其计数规律是逢十进一。21.位权:数值在不同位置上的倍率值,对于多位数,处在某一位上的“l”所表示的数值的大小,称为该位的位权。22.为什么电脑或数字系统中通常用二进制数?答:1二进制的数字装置简单可靠,所用元件少;二进制只有两个数码0和1,因此,它的每一位数可用任何具有两个不同稳定状态的元件来表示。 2二进制

5、的基本运算规则简单,运算操作方便。缺点:二进制表示一个数时,位数多;将人们熟悉的十进制数输入电脑时,需要转换成二进制数,运算后,再将二进制数转换成十进制的数显示。23.八进制和十六进制:由于使用二进制数经常是位数很多,不便书写和记忆,因此在数字电脑的资料中常采用十六进制和八进制来表示二进制数。UNIX系统的档案权限使用八进制,十六进制常用于数字技术、微处理器、电脑和数据通信中。24.BCD码:在这种编码中,用4位二进制数来表示十进制数中的0-9十个数码。25.BCD码可分为有权码和无权码两类:有权BCD码有8421码、2421码、5421码,其中8421码是最常用的;无权BCD码有余3码、格雷

6、码等。 26.8421 BCD码是最基本和最常用的BCD码,它和四位自然二进制码相似,各位的权值为8、4、2、1,故称为有权BCD码。27.格雷码:相邻的两个码组之间仅有一位不同,因而常用于模拟量的转换中,当模拟量发生微小变化而可能引起数字量发生变化时,格雷码仅改变一位,这样与其他码同时改变两位或多位的情况相比更可靠,即减少出错的可能性。28.奇偶校验码是一种通过增加冗余位使得码字中1的个数恒为奇数或偶数的编码方法,它是一种检错码。29.为什么8421码是最常用的?30.逻辑代数,又称布尔代数:逻辑代数是按一定的逻辑规律进行运算的代数,虽然它和普通代数一样也是用字母表示变量,但逻辑代数中的变量

7、逻辑代数只有两个值,即0和1,没有中间值,且0和1并不表示数量的大小,而是表示对立的逻辑状态。31.与逻辑:只有当一件事的几个条件全部具备后,这件事才发生。32.或逻辑:当一件事的几个条件只要有一个条件得到满足时,这件事就会发生,33.非逻辑:一件事情的发生是以其相反的条件为依据的。34.真值表:表征逻辑事件输入和输出之间全部可能状态的表格。35.分析数字电路或数字系统的工具是逻辑代数。 第二章1.用来接通或断开电路的开关器件应具有两种工作状态:一种是接通要求其阻抗很小,相当于短路,另一种是断开要求其阻抗很大,相当于开路。2.二极管的开关特性表现在正向导通与反向截止这样两种不同状态之间的转换过

8、程。3.反向恢复过程:二极管有正向导通转为反向截止所经过的转换过程。4.二极管的开关速度受到限制的原因:反向恢复时间的存在。5.产生反向恢复过程的原因:电荷存储效应。6.电荷存储效应:正向导通时,非平衡少数载流子积累的现象。7.二极管的开关转换过程中出现的反向恢复过程,实质上是由于电荷存储效应所引起的,反向恢复时间就是存储电荷消失所需要的时间8.开通时间:二极管从截止转为正向导通所需的时间。9.数字电路中BJT工作在截止和饱和状态,截止相当于开关断开,饱和相当于开关闭合。10.影响BJT开关速度的因素有:开通时间和关闭时间;开通时间是建立基区电荷时间,关闭时间是存储电荷消散的时间。最主要的因素

9、是关闭时间。11.与门电路:输入作为条件,输出作为结果,输入与输出量之间能满足与逻辑关系的电路。12.或门电路:输入输出量之间能满足或逻辑关系的电路。13.非门电路:输入输出量之间满足非逻辑关系的电路。14.BJT可以构成反相器,所以可以用来构成非门电路;模拟电路的反相器电压放大器与数字电路中的非门的不同:前者工作在放大区,后者工作在饱和区和截止区;15.利用二极管和BJT构成的与或非三种门电路的缺点:由于输出阻抗比较大,带负载能力差,开关性能也不理想,比较慢。16.TTL逻辑门电路是由假设干BJT和电阻构成的,其基本环节是带电阻负载的BJT反相器(非门)。17.BJT反相器的动态性能:BJT

10、开关速度受到限制的原因:由于BJT基区内存储电荷的影响,电荷的存入和消散需要一定的时间。18.TTL采用输入级以提高工作速度,采用推拉式输出级以提高开关速度和带负载能力。19.噪声容限表示门电路的抗干扰能力。高电平逻辑1所对应的电压范围输入高电平-输出高电平和低电平逻辑0所对应的范围输入低电平-输出低电平称为高低电平的噪声容限。20.灌电流负载:负载电流从外电路流入门电路21.拉电流负载:负载电流从门电路流入外电路22.扇入数:门电路允许的输入端的个数。23.扇出数:门电路输出端所驱动同类型门的个数。24.传输延迟时间:表征门电路开关速度的参数,它意味着门电路在输入脉冲波形的作用下,其输出波形

11、相对于输入波形延迟了多长时间。25.静态功耗是指没有状态转换时的功耗。26.空载导通功耗是指输出为低电平时的功耗。27.截止功耗是指输出为高电平时的功耗。28.线与:将两个门的输出端并联以实现与逻辑的功能。29.集电极开路:TTL与非门电路推拉式输出级中,删去电压跟随器。除了可以实现多门的线与逻辑关系外,还可用于直接驱动较大电流的负载。缺点:外接电阻受到一定限制,不能太小,影响了工作速度,同时由于省去了有源负载,使带负载能力下降。30.TTL与非门电路的主要特点:电路的输入端采用了多发射极的BJT。31.三态门:除了具备一般与非门输出电阻较小的高、低电平状态,还具有高输出电阻的第三状态,称为高

12、阻态;既保持了推拉式输出级的优点,又能做线与连接。32.肖特基势垒二极管SBD采用钳位的方法来到达抗饱和的效果: 为了限制BJT的饱和深度,在BJT的基极和集电极并联上一个导通阈值电压较低的肖特基二极管,当BJT集电结的正向偏压刚要到达SBD的导通阈值电压时,这个二极管先导通,使集电结的正向偏压钳制在0.4V左右,如果流向基极的电流增大,企图使集电结正向偏压加大时,则一部分电流就会通过肖特基二极管直接流向集电极,而不会使BJT基极电流过大,因此,肖特基二极管起了抵抗BJT过饱和的作用。33.肖特基TTL的改良: 一是除了T4外,其余的BJT都采用了SBD钳位,已到达抗饱和效果。 二是基本电路中

13、的所有电阻值都减半。增加了功耗这两项改良使门电路的开关时间大为缩短。34肖特基TTLSTTL对基本TTL改良还有:1二极管D被T4和T5所组成的复合管构成,减少了电路对负载电容的充电时间。2电路输入端加的SBD DA和DB,用来减少由门电路之间的连线而引起的杂散信号。3增加有源下拉电路,提高了开关速度。35.由于TTL门中的BJT工作在饱和状态,开关速度受到了限制,ECL射极耦合逻辑门电路是一种非饱和高速数字集成电路,是目前双极型电路中速度最高的。36.ECL具有很高开关速度的原因: 1BJT工作在放大和截止区,防止因工作在饱和状态而产生存储电荷的问题 2负载电阻小,时间常数就小,有利于提高开

14、关速度。 ECL的优点:开关速度高;逻辑功能强;负载能力强 缺点:功耗大;抗干扰能力强;制造工艺要求高37.在集成电路分类中有一种说法就是有双极型和单极型之分。 所谓双极型和单极型主要指的是组成集成电路的晶体管的极性而言的。双极型集成电路是由NPN或PNP型晶体管组成。由于电路中载流子有电子和空穴两种极性,因此取名为双极型集成电路,就是人们平时说的TTL集成电路。 单极型集成电路是由MOS场效应晶体管组成的。因场效应晶体管只有多数载流子参加导电,故称场效应晶体管为单极晶体管,由这种单极晶体管组成的集成电路就得名为单极型集成电路,就是平时说的MOS集成电路。38.TTLTransistor-Tr

15、ansistor Logic 三极管三极管逻辑MOSMetal-Oxide Semiconductor 金属氧化物半导体晶体管CMOSComplementary Metal-Oxide Semiconductor互补型金属氧化物半导体晶体管 39.TTL电路 TTL电路以双极型晶体管为开关元件,所以又称双极型集成电路。双极型数字集成电路是利用电子和空穴两种不同极性的载流子进行电传导的器件。 它具有速度高开关速度快、驱动能力强等优点,但其功耗较大,集成度相对较低。 CMOS电路 MOS电路又称场效应集成电路,属于单极型数字集成电路。单极型数字集成电路中只利用一种极性的载流子电子或空穴进行电传导。

16、 它的主要优点是输入阻抗高、功耗低、抗干扰能力强且适合大规模集成。CMOS集成电路的性能及特点 功耗低 工作电压范围宽 逻辑摆幅大 抗干扰能力强 输入阻抗高 温度稳定性能好 扇出能力强 抗辐射能力强 可控性好 接口方便40.CMOS与TTL相比较,它的功耗低,扇出系数大指带同类门负载,噪声容限大,开关速度与TTL接近。41.BiCMOSBipolar CMOS是CMOS和双极器件同时集成在同一块芯片上的技术,其基本思想是以CMOS器件为主要单元电路,而在要求驱动大电容负载之处加入双极器件或电路。42.BiCMOS电路既具有CMOS电路高集成度、低功耗的优点,又获得了双极电路高速、强电流驱动能力

17、的优势。43.NMOS门电路是以或非门为基础的原因:或非门的工作管都是并联的,增加管子的个数,输出低电平基本稳定,在整个电路设计中较为方便。主要用于大规模集成电路,而TTL和CMOS 电路作成小规模的单个芯片。44.采用接口电路要考虑三个条件:驱动器件必须能对负载器件提供灌电流最大值;驱动器件必须对负载器件能提供足够大的拉电流;驱动器件的输出电压必须处在负载器件所要求的输入电压范围,包括高低电压值。45.CMOS驱动TTL时,只要两者的电压参数兼容,不需要另加接口电路,仅按电流大小计算出扇出数即可46.TTL驱动CMOS时,由于TTL门电路与CMOS门电路的工作电源电压不同,高低电平标准也不同

18、,对接时要做一定转换,所以需要接口电路 。47.抗干扰措施:(1)多余输入端的处理措施:一般不让多余的输入端悬空,以防止干扰信号的引入。(2)去耦合滤波器:滤除较大的脉冲电流或尖峰电流,(3)接地和安装工艺:正确的接地技术可以降低电路噪声;良好的安装工艺可以减少接线电容而导致寄生反馈有可能引起寄生振荡。48.逻辑非门反相器电路的主要技术参数为:扇出数、噪声容限、传输延迟时间、功耗、功耗-延迟时间积。第三章1.组合逻辑电路:在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前状态无关的逻辑电路。2.组合逻辑电路的特点:(1)输入输出之间没有反馈延迟通路;(2)电路中不含记忆单元;(3)

19、由逻辑门构成;(4)输出与电路原来状态无关。3.反演规则:对于任意一个函数表达式Y,如果把Y中所有的“与”换成“或”,“或”换成“与”;“0”换成“1”,“1”换成“0”;原变量换成反变量,反变量换成原变量,即得到一个新的函数表达式Y非,称Y非为原函数Y的反函数。4.对偶规则: 假设两个逻辑表达式和相等,则它们的对偶式和也必定相等,这就是对偶规则。对偶式是这样定义的:对于任意一个逻辑函数表达式Y,假设将其表达式中所有出现“”注意,逻辑函数表达式中不致混淆的地方,“”常被省略的地方换以“”;所有出现“”的地方换以“”;所有的常量0换成常量1,常量1换成常量0,而其中的变量与原表达式中运算的优先顺

20、序保持不变,这样变换后得到一个新的表达式称为原表达式的对偶式5.逻辑代数,又称布尔代数:逻辑代数是按一定的逻辑规律进行运算的代数,虽然它和普通代数一样也是用字母表示变量,但逻辑代数中的变量逻辑代数只有两个值,即0和1,没有中间值,且0和1并不表示数量的大小,而是表示对立的逻辑状态。6.逻辑函数可用真值表、逻辑表达式、卡诺图和逻辑图四种方式表达。7.最小项:在一个有n个变量的逻辑函数中,包括全部n个变量的乘积项每个变量必须而且只能以原变量或反变量的形式出现一次称为最小项 1在输入变量的任何取值下必有一个最小项,而且仅有一个最小项的值为,其他最小项值为0。2不同的最小项,使它的值为1的那一组变量取

21、值也不同3对变量的任一组取值,任意两个最小项的乘积为。对变量的任一组取值,全体最小值之和为。8.无关项:在真值表内对应于变量的某些取值下,函数的值可以是任意的,或者这些变量的取值根本不会出现,这些变量取值所对应的最小项成为无关项或任意项。无关项的意义在于,它的值可以取0或1,具体取什么值,可以根据使函数尽量得到化简而定。9.卡诺图:一个逻辑函数的卡诺图就是将此函数的最小项表达式的各最小项相应的填入一个特定的方格图内,此方格图成为卡诺图。10.在数字逻辑电路设计中使用卡诺图的原因:卡诺图的构造特点使卡诺图具有一个重要性质:可以从图形上直观地找出相邻最小项。两个相邻最小项可以合并为一个与项并消去一

22、个变量。 11.半加器:可用于实现两个一位二进制数的相加。12.竞争冒险:由于从输入到输出的过程中,不同通路上门的级数不同,或者门电路平均延迟时间的差异,使信号从输入经不同通路传输到输出级的时间不同,从而导致逻辑电路产生错误输出。13.竞争:信号经过不同路径在不同的时刻到达的现象;由此产生的干扰脉冲的现象叫做冒险。14.消去竞争冒险的方法:发现并消去互补变量;增加乘积项;输出端并联电容器15.分析组合逻辑电路的目的是确定已知电路的逻辑功能,其大致步骤是:写出各输出端的逻辑表达式化简和变换逻辑表达式列出真值表确定功能16.应用逻辑门电路设计组合逻辑电路的步骤是:列出真值表写出逻辑表达式或填写卡诺

23、图逻辑化简和变换画出逻辑图第四章1.常用的组合逻辑部件:编码器、译码器、数据选择器、数据分配器、数值比较器、奇偶校验/产生器、加法器、算术/逻辑运算单元2.编码:把二进制码按一定的规律编排,是每组代码具有一特定的含义代表某个数或控制信号。3.编码器:具有编码功能的逻辑电路。4.优先编码:允许同时在几个输入端有输入信号,编码器按输入信号排定的优先顺序,只对同时输入的几个信号中优先权最高的一个进行编码。5.优先编码器:识别请求信号的优先级别并进行编码的逻辑部件。6.译码:编码的逆过程,将具有特定含义的二进制码进行区分,并转换成控制信号。7.译码器:具有译码功能的逻辑电路。8.唯一地址译码:将一系列

24、代码转换成与之一一对应的有效信号;常用于电脑中对存储器单元地址的译码,即将每一个地址代码转换成一个有效信号,从而选中对应的单元。9.代码变换器:将一种代码转换成另一种代码。10.数据分配器:将一个数据源来的数据根据需要送到多个不同的通道上去,实现数据分配功能的逻辑电路。作用相当于多个输出的单刀多掷开关。11.数据选择器:经过选择,把多个通路的数据传送到唯一的公共数据通道上取。实现数据选择功能的逻辑电路称为数据选择器。作用相当于多个输入的单刀多掷开关。12.数值比较器:对两数进行比较,以判断其大小的逻辑电路。13.半加器:只考虑两个加数本身,而没有考虑低位来的进位,完成这种加法功能的逻辑电路。1

25、4.全加器:能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位信号。15.串行进位:任一位的加法运算必须在低一位的运算完成后才能进行。16.超前进位加法逻辑:使每位的进位只由加数和被加数决定,而与低位的进位无关。17.补码=反码+118.反码=2n1原码19.简要说明由加补码完成减法运算的原理。第五章1.构成时序逻辑电路的基本单元是触发器。2.触发器:能够存储一位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。3.分析触发器的方法:功能表、特性方程、状态图4.触发器的电路结构分为基本RS触发器、同步RS触发器、主从触发器、边沿触

26、发器、维持阻塞触发器。5.与非门构成的基本RS触发器的功能表RSQ10101011不变00不定R为置0端,S为置1端,与非门构成的基本RS触发器,R、S低电平有效6.或非门构成的基本RS触发器中,R、S高电平有效。7.消除机械开关震动引起的脉冲:运用基本RS触发器;利用基本RS触发器的记忆作用可以消除开关震动所产生的影响。8.同步RS触发器的约束条件:SR=0因为S=1,R=1时,状态不定。9.现态:现在的状态,CP作用之前的触发器状态。10.次态:下一个状态,CP作用之后的触发其状态。11.主从触发器:有两级触发器构成,其中一级接收输入信号,其状态直接由输入信号决定,称为主触发器,还有一级的

27、输入与主触发器的输出连接,其状态由主触发器的状态决定,称为从触发器12.主从RS触发器特点:(1)有两个同步RS触发器即主触发器和从触发器组成,他们受互补时钟信号控制(2)只在时钟脉冲的负跳沿CP由1变0时刻,CP的下降沿(3)对于负跳沿触发的触发器,输入信号必须在CP正跳沿前加入,为主触发器发生翻转做好准备,而CP正跳沿后的高电平要有一定的延迟时间,以确保主触发器到达新的稳定状态;CP的负跳沿使从触发器发生翻转时后,CP的低电平也必须有一定的延迟时间,以确保从触发器到达新的稳定状态。13.脉冲工作特性:主从触发器对输入信号和时钟脉冲的要求。14.与主从触发器相比,同类工艺的边沿触发器有更强的

28、抗干扰能力和更高的工作速度。15.边沿触发器:触发器接收的是时钟脉冲CP 的某一约定跳变(正跳变或负跳变)来到时的输入数据。在CPl 及CP0 期间以及CP非约定跳变到来时,触发器不接收数据。 16.电平触发器或电位触发器:当触发器的向步控制信号正为约定“1”或“0”电平时,触发器接收输入数据,此时输入数据D 的任何变化都会在输出Q 端得到反映;当E 为非约定电平时,触发器状态保持不变。鉴于它接收信息的条件是E 出现约定的逻辑电平故称它为电位触发方式触发器,简称电位触发器。 17.至于电位触发器。只要 Z 为约定电平,数据来到后就可立即被接收,它不需像边沿触发器那样保持到约定控制信号跳变来到才

29、被接收 18.在边沿触发器中只有在时钟脉冲的上升沿或下降沿时刻,输入信号才能接收。19.转台转换图:通过描绘系统的状态及引起系统状态转换的事件,来表示系统的行为。此外状态转换图还指明了作为特定事件的结果系统将做那些动作例如,处理数据。因此状态转换图提供了行为建模机制。20.直接预置和直接清零:预置和清零与CP无关。21.JK触发器与RS触发器的不同之处是,它没有约束条件,在J=K=1时,每输入一个时钟脉冲后,触发器翻转一次。触发器的这种状态称为计数状态。由触发器翻转次数可以计算出输入时钟脉冲的个数。22. JK触发器:J=K=0时,输出不变;J=K=1时,每输入一个脉冲,输出就改变一次;其他时

30、候,输出与J相同。23按逻辑功能不同分为:RS触发器、D触发器、JK触发器、T触发器。24.按触发方式不同分为:电平触发器、边沿触发器和主从触发器。25.按存储数据原理不同分为:静态触发器和动态触发器。26.按构成触发器的基本器件不同分为:双极型触发器和MOS型触发器。27. 触发器维持时间:为了工作可靠,时钟信号的状态必须保持一段时间,直到输出端电平稳定,这段时间称为维持时间28.tCPHL:从时钟脉冲触发沿开始到一个输出端由0变1所需的延迟时间29.tCPHL:从时钟脉冲触发沿开始到输出端由1变0的延迟时间30.最小工作周期=tCPHL+tCPHL31.建立时间setup time是指在触

31、发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器。32. 保持时间hold time是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间, 如果保持时间不够,数据同样不能被打入触发器。第六章1.时序逻辑电路:任一刻的输出信号不仅与当时的输入信号有关,而且还与电路原来的状态有关。2.时序逻辑和组合逻辑的不同:(1)从逻辑功能来看,即定义的不同(2)从结构上来看,组合逻辑电路仅由假设干逻辑门组成,没有存储电路,因而无记忆能力;而时序逻辑电路除包含组合电路外,还有存储电路,因而有记忆功能3.存储电路可用延迟元件组成,也可由触发器构成。4.Mealy型电路:输出信号不仅与存储电路的输出状态有关,而且还与时序电路的输入信号有关5.Moore型电路:输出信号仅与

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1