ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:153.21KB ,
资源ID:7785256      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7785256.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(空间矢量脉宽调制的开环讲解.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

空间矢量脉宽调制的开环讲解.docx

1、空间矢量脉宽调制的开环讲解采用空间矢量脉宽调制(SVPWM的开环VVVF调速系统的综合实训一、实验目的1、 理解电压空间矢量脉宽调制( SVPWM控制的基本原理。2、 熟悉MCKV电机控制系统的 CPU模块、IPM模块和机组各部分硬件模块,并确认工作 正常。3、 了解SVPW变频器运行参数和特性。二、 实验内容:1、 熟悉CCS编程环境,并在 CCS下编译、下载、运行 DSP软件工程。2、 观察并记录定子磁链周期和频率,并分析他们之间的关系。3、 观测并记录启动时电机定子电流和电机速度波形 二f(t)与n二f (t);三、 实验预习要求1、 阅读并掌握三相交流异步电机 WVF调速系统工作原理。

2、2、 了解电压空间矢量脉宽调制( SVPWM控制的基本原理。3、 阅读本次实验指导书和实验程序,写好实验预习报告。4、 在MATLAB/Simulinlk环境中搭好仿真模型,结合本程序 LEVEL1功能框图,完成电流速度双闭环系统交流异步电机矢量控制仿真。四、实验原理当用三相平衡的正弦电压向交流电动机供电时,电动机的定子磁链空间矢量幅值恒定,并以恒速旋转,磁链矢量的运动轨迹形成圆形的空间旋转矢量(磁链圆) 。SVPWM就是着眼于使形成的磁链轨迹跟踪由理想三相平衡正弦波电压源供电时所形成的基准磁链圆, 使逆变电路能向交流电动机提供可变频电源,实现交流电动机的变频调速。现在以实验系统中用的电压源型

3、逆变器为例说明 SVPW的工作原理。三相逆变器由直流电源和6个开关元件(MOSFET)组成。图1是电压源型逆变器的示意图。图1电压源型逆变器示意图对于每个桥臂而言, 它的上下开关元件不能同时打开, 否则会因短路而烧毁元器件。 其中A、B C代表3个桥臂的开关状态,当上桥臂开关元件为开而下桥臂开关元件为关时定 义其状态为1 ,当下桥臂开关元件为开而上桥臂开关元件为关时定义其状态为 0。这样A、B C有000、001、010、011、100、101、110、111共8种状态。逆变器每种开关 状态对应不同的电压矢量,根据相位角不同分别命名为 Ub(000)、U( 100)、U2( 110)、U3(0

4、10)、U4(011 )、U5( 001)、U6( 101 )、U7( 111)如图 2 所示。其中“(000)和U7( 111)称为零矢量,位于坐标的原点,其他的称为非零矢量,它们幅值相等,相邻的矢量之间相隔 60 。如果按照一定顺序选择这六个非零矢量的电压空间矢量进行输出,会形成正六边形的定子磁链,距离要求的圆形磁链还有很大差距, 只有选择 更多的非零矢量才会使磁链更接近圆形。SVPW的关键在于用8个基本电压空间矢量的不同时间组合来逼近所给定的参考空间电x 60压矢量。在图3中对于给定的输出电压 U,用它所在扇区的一对相邻基本电压 Ux和Ux.6O来等效。此外当逆变器单独输出零矢量时,电动

5、机的定子磁链矢量是不动的。根据这个特点,可以在载波周期内插入零矢量,调整角频率,从而达到变频目的。图3电压空间的线性组合根据正弦定理可以得到:/3uTpwm sin(60=)U x得到T2 =.3U tpwm sinU x 60T0 =TPWM 23为直流母线电压 -V ; n可以由输出正弦电压角频率 w和nTpwm的乘积确定。因此,当已知两相邻的基本电压空间矢量 Ux和Ux 60后,就可以根据上式确定 T1、T2、T。五、实验流程图图1-1-1给出了实验程序功能框图:图1-1-1实验一功能框图 以下给出实验一中的控制参数及其调节范围EnableFlag : 0、1 启动控制位SpeedRef

6、: 0.90.9 速度给定值六、实验设备1、 DSPCP组合板:Techv-28335+MCKV-INF2+MCKV-INF32、 DSP仿真器及usb线3、 MCKV DSP电机控制器4、 三相交流异步电机机组5、 PC机及电源电缆七、实验步骤在做该实验之前,请确保已经按照系统测试步骤和方法进行各步骤的运 行,该实验具体调试操作步骤如下:1.将头文件“ build.h ”中的编译指令BUILDLEVE设为“ LEVEL” 然后 用“ Project-Build ”命令重新编译连接程序。在“build.h ”文件中第 29 行:#define BUILDLEVEL LEVEL1 修改此处。2.

7、用“File-Load Program”菜单命令加载“ ACI_2833X.out ”文件到目 标板,此时注意观察加载的文件“ ACI_2833X.out”是否您刚才编译链 接生成的文件,看一下文件的生成时间就知道了,如果所有源文件都 没有修改,此时“ ACI_2833X.out”的生成时间不会变化;如果想证实 源文件编译是否执行,可以在主程序中随便修改一点注释内容,那么 编译的时候就肯定会生成新时间的输出文件。3.点击“Debug-Real time Mode选择实时模式,此时出现一个对话框, 选择“是(Y)”,再点击“ Debug-Run”或者点击左侧运行图标运行 程序,此时程序在实时运行

8、模式下运行。4.在“Watch window”窗口中左键点击“ Build1 ”标签并在空白处点击 右键,选择连续刷新模式“ Continuous Refresh ” ,此时应能观察到“BackTicker ”变量在不断变化,说明主程序已经运行,5.在控制器面板上进行电机选择,选择三相交流异步电机显示“正确”后,在主菜单下按两次向下键并确认后进入状态页面, 打开主电源(按钮:电源)。因为主电路中有3300uf的大电容,所以需要较长的充电 时间,为了避免过大的充电电流,主电路中有 NTC负温度系数的热敏电阻,所以一定要等控制器的液晶上显示“电源:开启” ,才能进行下一步的操作,并且每次打开主电源

9、距离上次关闭主电源需要有 5分钟以上的间隔时间。6.在“Watch window” 窗口 中双击变量“ EnableFlag ”右侧的“ Value“值并修改为1后回车,此时应能观察到变量“ IsrTicker ”也在不断 变化,说明主中断服务程序已经正常运行。而此时电机应该转动,并 稳定在740转/分左右的速度上,说明控制系统PWM输出部分和IPM逆 变部分硬件以及机组和连线都是正确的,如果电机不转,请检查 INF2 电路板上的LED2指示灯是否熄灭,如果熄灭说明产生了功率保护中 断,可以更换DSPCP板测试,也可更换机组测试,如果现象依旧则需 要返修。如果电机运转了,但是控制器上没有速度显

10、示,请检查DSPCPU电路板下面那块INF2电路板上的JP1拨码开关是否拨向左边。7.分别右键点击图形显示窗口“ Channell&2”、“Channel3&4”,选择连续 刷新模式“ Continuous Refresh ” ,观察 rgl.Out、Ta、Tb 以及 Tc 的波形,如图1-1-3和图1-1-4所示。rg1.Out是给定磁链斜坡函数 的输出,它是以360度为PU基值的Q15格式数据表示的,将竖线光标 移至第一个图形的零点处,注意观察图形窗口“ Cha nn el1 &2”左下角的第一个坐标值的第一个值是时间,单位为 ms我们可以看到给定的磁链周期为40ms这样可以计算出给定磁链

11、的频率为 25Hz,这和我们 设定的SpeedRef=0.5是对应的,设定为1时,对应频率为50Hz,周 期应为20ms Ta、Tb、Tc表示的是三组PWM控制信号在每个调制周期 中的占空比,也是Q15格式的数据。将光标移至Channel2的第一个马 鞍波的波峰中点,读出时间坐标为 14.8ms,将光标移至Channel3的 第一个马鞍波的波峰中点,读出时间坐标为 28.2ms,那么得出结论:Ta相位超前 Tb=(28.2-14.8 )/40*360=120 度。如图 1-1-5 和图 1-1-6 所示。设定speedref为不同值,完成表1-1-1。表 1-1-1 :Speedref0.10

12、.30.5-0.5Ta峰值时间msTb峰值时间msTa超前Tb相位 度8.改变SpeedRef的值,观察波形的周期值是否和设定值对应。同时 VdTesting、VqTesting的值也跟着变化,此时可以观测图形显示窗口 波形幅值的变化。变量VdTesting、VqTesting相当于IPARK逆变换的 输入值,在程序中做了一个函数,随着 SpeedRef的值变化而变化,所 以不能修改。根据speedref的值完成表1-1-2。表 1-1-2 :Speedref0.10.30.5-0.5给定磁链周期给定磁链频率电机转速9.分别右键点击图形显示窗口“ Channel1 &2”、“Channel3&

13、4”,取消连续 刷新模式“ Continuous Refresh ”,点击“ Debug-Halt ” ,再点击“Debug-Real time Mode ” ,最后点击“ Debug-Reset CPU” , “ Debug Restart ”,退出实时运行模式,并停止程序运行。10.如果继续实验,请转实验二。否则请关闭控制器的功率部分主电源(按 下电源键),然后关闭CCS软件退出程序,关闭控制电源。图1-1-3 rgl.out和Ta波形 图1-1-4 Tb和Tc波形图 1-1-5 rg1.out 和 Ta 波形 图 1-1-6 Tb 和 Tc 波形实验报告:1、分析实验结果,并根据表1-1

14、-1的测试结果,解释电机速度设定参考值 和电机实际运行速度之间的差异是怎么产生的。2、 阅读实验程序,理解IPARK和SVPWM软件模块的工作原理。绘制出 IPARK和SVPWM模块的详细软件流程图。3、 读懂LEVEL1实验程序,并给出LEVEL1程序流程图。4、 总结实验经验,回答本实验中提出的问题,并整理实验报告。附录:/*=File name: IPARK.C (IQ versio n)Orig in ator: Digital Con trol Systems GroupTexas InstrumentsDsscription: Inverse Park Transformation

15、History:04-15-2005 Version 3.20 */#include IQmathLib.h / Include header for IQmath library/ Dont forget to set a proper GLOBAL_Q in IQmathLib.h file #include dmctype.h#include ipark.hvoid ipark_calc(IPARK *v)_iq Cosine,Sine;/ Using look-up IQ sine tableSine = _IQsinPU(v-Angle);Cosine = _IQcosPU(v-An

16、gle);v-Alpha = _IQmpy(v-Ds,Cosine) - _IQmpy(v-Qs,Sine);v-Beta = _IQmpy(v-Qs,Cosine) + _IQmpy(v-Ds,Sine);/*=File name: SVGEN_DQ.C (IQ version)Originator: Digital Control Systems GroupTexas InstrumentsDescription: Space-vector PWM generation based on d-q componentsHistory:04-15-2005 Version 3.20 */ Do

17、nt forget to set a proper GLOBAL_Q in IQmathLib.h file #include dmctype.h#include svgen_dq.hfloat f_t1,f_t2;void svgendq_calc(SVGENDQ *v)_iq Va,Vb,Vc,t1,t2;Uint32 Sector = 0; / Sector is treated as Q0 - independently with global Q / Inverse clarke transformationVa = v-Ubeta;Vb = _IQmpy(_IQ(-0.5),v-U

18、beta) + _IQmpy(_IQ(0.8660254),v-Ualpha); sqrt(3)/2Vc = _IQmpy(_IQ(-0.5),v-Ubeta) - _IQmpy(_IQ(0.8660254),v-Ualpha); sqrt(3)/2/ 60 degree Sector determinationif (Va_IQ(0)Sector = 1;if (Vb_IQ(0)Sector = Sector + 2;if (Vc_IQ(0)Sector = Sector + 4;/ X,Y,Z (Va,Vb,Vc) calculationsVa = v-Ubeta;Vb = _IQmpy(

19、_IQ(0.5),v-Ubeta) + _IQmpy(_IQ(0.8660254),v-Ualpha);Vc = _IQmpy(_IQ(0.5),v-Ubeta) - _IQmpy(_IQ(0.8660254),v-Ualpha);if (Sector=0) / Sector 0: this is special case for (Ualpha,Ubeta) = (0,0)v-Ta = _IQ(0.5);v-Tb = _IQ(0.5);v-Tc = _IQ(0.5);if (Sector=1) / Sector 1: t1=Z and t2=Y (abc - Tb,Ta,Tc)/ 0.866

20、0254 =/ 0.8660254 =/ X = Va / Y = Vb / Z = Vct1 = Vc;t2 = Vb;v-Tb = _IQmpy(_IQ(0.5),(_IQ(1)-t1-t2);/ tbon = (1-t1-t2)/2/ taon = tbon+t1v-Ta = v-Tb+t1;/ tcon = taon+t2v-Tc = v-Ta+t2;else if (Sector=2) / Sector 2: t1=Y and t2=-X (abc - Ta,Tc,Tb)t1 = Vb;t2 = -Va;/ taon = (1-t1-t2)/2/ tcon = taon+t1/ tb

21、on = tcon+t2v-Ta = _IQmpy(_IQ(0.5),(_IQ(1)-t1-t2);v-Tc = v-Ta+t1;v-Tb = v-Tc+t2;else if (Sector=3) / Sector 3: t1=-Z and t2=X (abc - Ta,Tb,Tc)t1 = -Vc;t2 = Va;v-Ta = _IQmpy(_IQ(0.5),(_IQ(1)-t1-t2); / taon = (1-t1-t2)/2v-Tb = v-Ta+t1; / tbon = taon+t1v-Tc = v-Tb+t2; / tcon = tbon+t2else if (Sector=4)

22、 / Sector 4: t1=-X and t2=Z (abc - Tc,Tb,Ta)t1 = -Va;t2 = Vc;v-Tc = _IQmpy(_IQ(0.5),(_IQ(1)-t1-t2); / tcon = (1-t1-t2)/2v-Tb = v-Tc+t1; / tbon = tcon+t1v-Ta = v-Tb+t2; / taon = tbon+t2else if (Sector=5) / Sector 5: t1=X and t2=-Y (abc - Tb,Tc,Ta)t1 = Va;t2 = -Vb;v-Tb = _IQmpy(_IQ(0.5),(_IQ(1)-t1-t2)

23、; / tbon = (1-t1-t2)/2v-Tc = v-Tb+t1; / tcon = tbon+t1v-Ta = v-Tc+t2; / taon = tcon+t2else if (Sector=6) / Sector 6: t1=-Y and t2=-Z (abc - Tc,Ta,Tb)t1 = -Vb;t2 = -Vc;/ tcon = (1-t1-t2)/2/ taon = tcon+t1/ tbon = taon+t2v-Tc = _IQmpy(_IQ(0.5),(_IQ(1)-t1-t2);v-Ta = v-Tc+t1;v-Tb = v-Ta+t2;/ Convert the unsigned GLOBAL_Q format (ranged (0,1) - signed GLOBAL_Q format (ranged(-1,1)/ v-Ta = _IQmpy(_IQ(2.0),(v-Ta-_IQ(0.5);/ v-Tb = _IQmpy(_IQ(2.0),(v-Tb-_IQ(0.5);/ v-Tc = _IQmpy(_IQ(2.0),(v-Tc-_IQ(0.5);

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1