ImageVerifierCode 换一换
格式:DOCX , 页数:30 ,大小:568.19KB ,
资源ID:7747229      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7747229.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FIR算法的汽车动态称重系统的理论研究.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FIR算法的汽车动态称重系统的理论研究.docx

1、基于FIR算法的汽车动态称重系统的理论研究基于FIR算法的汽车动态称重系统的理论研究Theoretical Research of FIR Algorithm-Based in Vehicle Dynamic Weighing Systems摘要交通运输业的发展无疑对国民经济建设起到了积极的推动作用,但是号称公路隐形杀手的营运车辆超载现象屡禁不止,其危害是多方面的:加速损坏公路路面,增加公路养护成本;使国家税费大量流失;造成交通事故频繁发生;严重的环境污染;加速车辆的损坏。因此加强公路运输的管理、保障行车安全等问题也显得日趋重要。车辆动态称重,即在非停车的运动状态下的称重,与停车状态下的静态称

2、重相比,其主要特点是节省时间,效率高,使得称重时不至于造成对正常交通的干扰。汽车动态称重是加强公路超限运输、强制实施超限法规等管理现代化、科学化的技术条件。动态车辆称重系统的研究对于保护公路的正常使用有着重要的经济意义和社会价值。关键字:动态称重 称重本体 FPGA FIR 单片机ABSTRACTThe development of communication and transportation industry has undoubtedly played an active role in the construction of national economy. However, th

3、e overloading of trucking vehicles, which is known as stealthy killers of the highway, remains incessant in despite of repeated prohibition. Harms created by overloading are of manifolds, accelerating road damage, increasing road maintenance cost, leading to substantial run off of taxation and toll

4、fee, bringing about frequent occurrence of traffic accidents, seriously polluting the environment, speeding up vehicle wear-off etc. So that it becomes more and more important to reinforce control over road transportation. Dynamic weighing, i.e. weighing the vehicle on its moving state, with the cha

5、racteristic of higher efficiency and time-saving, avoids the disturbance to the traffic in the weighing process, as compared with static weighing. Vehicle dynamic weighing is the technical precondition to the modernized and scientific management of reinforcing overloading control and forcing overloa

6、ding control regulations. And the study of dynamic weighing system is of economic significance and social value in assurance of appropriate use of the roads.KEY WORDS: dynamic weighing; Weighing noumenon; FPGA; FIR; single chip microprocessor 目录摘要 IABSTRACT II第1章 前言 11.1选题背景及意义 11.2动态称重概述 11.3动态车辆称重

7、系统国内外研究现状 21.4 几种动态测试方式及系统 3第2章 硬件系统介绍 52.1整体设计介绍 52.2 FPGA概述 62.3 放大滤波电路设计 82.4 A/D采集电路设计 112.5 显示电路设计 132.6 通讯电路设计 14第3章 软件设计 173.1 FPGA软件设计 173.2 FIR算法实现 183.3 单片机软件设计 253.3.1 动态称重仪表的数学模型 253.3.2单片机软件流程图 25第4章 结论 27第5章 展望和不足 28参考文献 29致谢 1第1章 前言1.1选题背景及意义随着经济的发展和科技的进步,现代交通运输业飞速发展。近几年来我国对公路基础设施特别是高

8、速公路建设的力度逐年加大,全国公路建设完成投资日益增加。交通运输业的发展无疑对国民经济建设起到了积极的推动作用,但是号称公路隐形杀手的营运车辆超载现象屡禁不止,其危害是多方面的1。主要表现在:加速损坏公路路面,增加公路养护成本;使国家税费大量流失;造成交通事故频繁发生;严重的环境污染;加速车辆的损坏等几个方面。因此加强公路运输的管理、保障行车安全等问题也显得日趋重要。依据交通部对车辆轴类型及轴载质量的标准,我们准备对满足我国管理规范要求的全自动车辆动态称重超限管理系统进行实验性研究,为交通部门实施有效的超限管理提供了强有力的手段。鉴于国内车辆超载、超限的严重性,公路交通管理相关部门急于收集当前

9、公路上行驶的车辆车型组成、轴载谱和超重程度、分析超重车辆对路面的损害影响程度,以便估算公路交通部门需为此增加的建设投资,并制定相应的管理措施和法规,为公路运营部门按车重收费提供有效技术手段。同时提高动态称重的精度,提高称重时车辆通过速度、降低称重系统的成本仍是一个未能解决的问题,国内外一直都在探索一种全新型的动态称重方案。如何设计出速度快、抗干扰能力强、准确度高的新型全自动汽车动态称重超限管理系统,对交通部门有效地实施超限管理,保证行车安全、延长公路的使用寿命、降低公路养护的成本、减少环境污染等方面有着显著的社会效益和经济效益。1.2动态称重概述车辆动态称重,即在非停车的运动状态下的称重。与停

10、车状态下的静态称重相比,其主要特点是节省时间,效率高,使得称重时不至于造成对正常交通的干扰。这对公路建设与管理有着极为重要的意义,同时对车辆运输现代化管理也有较大的促进作用。目前较为流行的是轴重称量轴重仪,即分别测出车辆各轴的轴重量,再由测量系统计算出整车重量。在静态称重时,车辆的轮胎平稳地作用于轴重仪称台上,无任何其它外力干扰,能反映真实轴重。因此可以实现高精度测量。动态称重时,车辆以一定速度通过轴重仪测量平台,不仅轮胎对平台的作用时间很短(在几百毫秒以内),而且作用在平台上的力除真实轴重外,还有许多因素产生的干扰力,如:车速、车辆自身谐振、路面激励、轮胎驱动力等。可以说真实轴重被淹没在各种

11、干扰力中,给动态称重实现高精度测量造成很大困难。因此,在外界随机不确定的干扰力作用下如何准确测量真实轴重,就成了动态轴重测试系统的技术难点和关键。就所存在的干扰进行整理、分析综合,从动态测试系统的整体角度探讨能减小或消除这些干扰力影响程度的措施和测试方式,无疑有助于高精度动态称重技术的进步及测试系统的发展1。1.3动态车辆称重系统国内外研究现状 在20世纪60年代末70年代初,国外开始研究高速公路动态车辆称重系统以防止车辆超载对路面所造成的损坏,我国则起步较晚,开始于80年代初期2。1974年,法国取得了一项压电缆动态汽车称重器Vibracoax的专利。1988年,英国研制出了性能优于Vibr

12、acoax的新型压电称重传感器Vibetek5。1992年,由欧洲高速公路系统研究实验室联盟(FEHRI)发起,按照欧盟运输委员会(ECTD)的程序框架进行了COST323计划。该计划主要内容就是研究对公路上行驶的汽车进行动态载荷监控的相关问题,其中最重要的是在瑞士进行为期30个月的WIM系统实际应用测试。1994年,欧盟开始进行WAVE(weighing in motion of axleand vehicles for Europe)计划即著名的CET(cold environment test)测试。结果表明德国PAT、美国Mikros等在测量性能方面处于领先水平。2000年ITS年会上

13、展出由美国MSI公司开发的共聚物压电轴传感器,可以同时测量车速、车轴数、轴距及车型分类、动态称重。为了规范世界上的动态称重系统,1990年德克萨斯大学的学者起草了ASTME131890标准3。1)目前,国外汽车动态称重系统的研究以美国和德国水平较高,德国PAT公司生产的产品精度已达到3%4。2)我国高等级公路的发展较国外晚,但超载现象特别严重,尤其是矿产资源富有地区。我国于20世纪80年代出现了带基坑和无基坑两种电子汽车衡5,1994年一种动、静态两用电子轨道衡6在太原钢铁公司通过了鉴定,该产品集动态和静态轨道衡的优点子一身,较好地解决了检测精度与汽车通过速度之间的矛盾。作为国家“八五”重点科

14、技项目,交通部重庆公路科学研究所研制了一种固定式动态汽车称重系统7,该系统轴重误差小于10%,置信度为95%。3)汽车动态称重技术在现实中的应用。发达国家在公路骨干网上普遍设立了车辆动态称重检测设备,对汽车超载现象实施严格管理。目前,国内还没有一家企业能够自主生产汽车动态称重仪,其关键总成或配件依靠进口。本课题主要对动态车辆称重系统进行深入的分析和研究以解决其关键问题。1.4 几种动态测试方式及系统动态称重时,车辆以一定速度通过轴重仪测量平台,不仅轮胎对平台的作用时间很短(在几百毫秒以内),而且作用在平台上的力除真实轴重外,还有许多因素产生的干扰力,如:车速、车辆自身谐振、路面激励、轮胎驱动力

15、等。可以说真实轴重被淹没在各种干扰力中,给动态称重实现高精度测量造成很大困难。因此,在外界随机不确定的干扰力作用下如何准确测量真实轴重,就成了动态轴重测试系统的技术难点和关键。就所存在的干扰进行整理、分析综合,从动态测试系统的整体角度探讨能减小或消除这些干扰力影响程度的措施和测试方式,无疑有助于高精度动态称重技术的进步及测试系统的发展89。1.4.1算术平均测试系统所谓算术平均,即对传感器输出信号进行多次快速数据采集,然后取算术平均值作为测试结果,以滤除随机误差。该测试系统基于高速A/D转换器与单片机数据采集处理功能。当车轮通过称台时,单片机在称重时区段内通过高速A/D转换器对传感器输出信号进

16、行自动快速采集,并将多次采集的数据进行预处理和存储,然后取算术平均,其结果认为是在动态下测试的轴重。从理论上来讲,该系统应能保证一定的测量精度。但是,在实际操作中,会受到若干条件限制(如称量时间较短、模数转换不够快),致使车辆通过速度上限与称量精度相矛盾。而且,由于称台较短,称重时间有限,所采集的数据不可能足够的达到精确平均值,有相当的偏差。因此,依此测试方式研制的轴重仪比较适合于静态称重或低速准动态称重(限速称重)。1.4.2位移积分测试系统由于干扰因素的存在,使行驶车辆在某些固有频率下震动。当车辆通过称台时对称台的作用力波形将由两部分组成,即与轴重成正比的静态分量和由各种谐波成分组成的动态

17、分量(如图1-1所示)。80年代,日本提出了一种位移积分法的测试方式,较好的解决了滤除动态分量干扰问题,大大提高了动态轴重称量精度。其原理大致是:将称重系统的输出信号对一小段位移沿(L1)其长度(L)积分,L1的两端是通过对称的前后排传感器的输出进行比较给定的。图1-1示出了称重的典型波形,图中阴影部分面积用于该测试方式中的计算,以得到接近于轴重的静态分量,消除动态分量。动态分量在积分区间被比较理想的平均了,使车辆震荡造成的干扰影响很小。由于积分是对前后排传感器的输出做出的比较后划分的位移区段进行的,因此即使车辆在较高车速下行使,仍能保证有较高的测试精度。但这需要大量的数据才能保证其精度,其实

18、现起来比较麻烦,这也是目前动态称重系统在提高汽车通过速度时,精度无法保证的关键所在。图1-1 轴重的典型波形1.4.3 FIR数字滤波测试系统本文在参考了以上几种方法以后,提出了一种FIR数字滤波方法,这种方法具有滤波效果好滤波参数修改方便而且容易实现等优点。由于FIR算法的运算量比较大,如果单纯用单片机来实现很难做到实时处理,所以我们用FPGA来实现FIR算法,单片机用来做一些辅助工作。第2章 硬件系统介绍2.1整体设计介绍 由于传感器输出为毫伏级差动信号所以放大器必须为差动。在现场干扰比较严重,其中有传感器本身的干扰,其中共模干扰部分通过差动放大电路可以有效的消除,而串模干扰必须通过低通滤

19、波消除,此时就得考虑滤波电容的大小。如果电容选择的大一些可以有效的消除串模干扰,但是同时滤波电路的输出信号会有一个比较大延迟,对汽车重量的实时测量来说这是不允许的。如果选用的电容比较小,虽然可以保证实时性但 却不能有效虑除干扰信号。所以电容大小的选择必须折中考虑。虽然有一部分干扰信号不能虑除但是可以通过软件滤波实现,比如可以通过平均值方法或者用自适应方法,这就需要CPU有足够的速度来保证计算的实时性,但是这种CPU往往价格比较高昂,所以仍然选用通用微处理器89C51。为了保证信号处理的实时性,我们用硬件完成滤波算法。本设计选用Altera公司的FLEX10K10器件,它具有速度快操作简单等特点

20、。我们用FPGA器件实现数字滤波FIR算法。同时FLEX10K10器件还担任着一些简单逻辑电路设计的任务。其中处理完的数据通过单片机的串口传送到上位机,并且这个串口还用于数码管的显示,而串口切换是由FPGA完成的。图2-1设计整体框图由于本文选用的A/D1674芯片转换时间只有10s,而所设计的电路是每隔20s启动转换一次,所以有足够的时间完成模数转换。汽车轴重台的宽度为40cm,汽车通过轴重台的最大速度为15km/h,这样可以求出汽车通过的最短时间为0.096s。在这段时间里可以采集4800个数据。由于前30ms是传感器的反应时间,除去这部分数据,将剩下的数据进行FIR数字滤波后,噪声被有效

21、的抑制。为了进一步的平滑波形,每8个数据做一次平均,这样就消弱了由于汽车高速通过轴重台时由于颠簸产生的尖峰信号。FPGA的每次滤波包含有FIR运算和8个数据的平均值运算。FPGA每完成一次滤波就会向单片机发一个中断请求,此时单片机读取滤波后的数据。由于选用的A/D是12位,而单片机89C51是8位的,所以经过处理后的数据必须分两次读入。第一次读入低八位,第二次读入高4位,然后对数据处理。此时可以认为单片机接收的数据为滤波后不含有噪声的数据,只要求出此时的最大值就是汽车的真实重量。2.2 FPGA概述 2.2.1 FLEX10K系列器件的功能描述FLEX10K主要由嵌入式阵列块、逻辑阵列块、Fa

22、stTrack和IO单元四部分组成。1嵌入式阵列嵌入式阵列是由一系列的EAB(嵌入式阵列块)构成的。当要实现有关存贮器功能时,每个EAB提供2048位用来构造RAM、ROM、FIFO或双口RAM等功能。当EAB用来实现乘法器、微控制器、状态机以及DSP等复杂逻辑时,每个EAB可以贡献100到600个门。EAB可以单独使用,也可以组合起来使用。2逻辑阵列逻辑阵列是由一系列的逻辑阵列块(LAB)构成的。每个LAB包含8个LE和一些连接线,每个LE含有一个4输入查找表(LUT)、一个可编程触发器、进位链和级联链,LE的结构能有效地实现各种逻辑。每个LAB是一个独立的结构,它具有共同的输入互连与控制信

23、号,LAB的这种“粗粒度”结构有利于器件布线和高性能的实现,例如8位计数器、地址译码器或状态机。多个LAB组合起来也可以构成更大的逻辑块,每个LAB代表大约96个可用逻辑门。3快速通道(FastTrack)FLEX10K器件内部信号的互连和器件引脚之间的信号互连是由快速通道(FastTrack)连线提供的,它是贯通器件长、宽的快速连续通道。4IO单元图2-2 FLEX10K器件的结构方框图FLEX10K器件的IO引脚是由一些IO单元(IOE)驱动的。IOE位于快速通道的行和列的末端,每个IOE有一个双向IO缓冲器和一个既可做输入寄存器也可做输出寄存器的触发器。当IOE作为专用时钟引脚时,这些寄

24、存器提供了特殊的性能。当它作为输入时,可提供4.2ns的建立时间和0 ns的保持时间;而作为输出时,这些寄存器可提供少于5.7ns的“时钟到输出”的延时时间。IOE具有许多特性,如JTAG编程支持、摆串控制、三态缓冲和漏极开路输出。图3-3是FLEX10K结构的方框图。由图可以看出,一组LE组成了一个LAB,LAB是排列成行和列的,每一行也包含一个EAB。LAB和EAB是由快速通道连接的,IOE处于快速通道连线的行和列的两端。FLEX10K器件还提供了6个专用输入引脚,这些引脚用来驱动触发器的控制端,以确保控制信号高速、低偏移(1.2ns)地有效分配。这些信号使用了专用的布线支路,以便具有比快

25、速通道更短的延迟和更小的偏移。专用输入中的4个输入引脚可用来驱动全局信号,这4个全局信号也能由内部逻辑驱动,它为时钟分配或产生用以清除器件内部多个寄存器的异步清除信号提供了一个理想的方法。 2.2.2 FPGA下载电路设计当电路设计者利用MAX+PLUS 软件工具将电路输入,并且经过编译、优化、仿真,从波形上看已经完全达到最初的要求以后,就应当考虑CPLD器件的系统配置与下载方法了。图2-3 FPGA 下载电路CPLD器件的工作状态分为三种:一种称之为用户状态(Usermode),指电路中CPLD器件正常工作时的状态;一种则是配置状态(Configuration),指将编程数据装入CPLD器件

26、的过程,也可称之为构造;第三种就是初始化状态(Initialization),CPLD器件复位各类寄存器。让IO引脚为逻辑器件正常工作作准备。【8】【9】一、配置方式图2-4 FLEX10K器件的工作状态CPLD器件配置分为两大类:主动配置方式和被动配置方式。主动配置由CPLD器件引导配置操作过程,它控制着外部存贮器和初始化过程;而被动配置由部计算机或控制器控制配置过程。根据数据线的多少将CPLD器件配置分为并行配置和串行配置两类。在FLEX10K10器件正常工作时,它的配置数据贮存在SRAM之中。由于SRAM的易失性,所以每次加电期间,配置数据都必须重新构造,将IO引脚和寄存器初始化之后便进

27、入了用户状态,其各种工作状态如图2-4所示。二、串口下载电缆ByteBlaster原理 我们知道,使用FLEX器件的一个特别突出的优点就是:FLEX器件可以通过在线配置的手段来调整电路结构、延时信息等,这给电路设计人员调试电路带来极大的方便。而并口下载电缆ByteBlaster正是将PC机中的配置信息传送到PCB板FLEX器件中必不可少的器件。ByteBlaster不但可以用来对FLEX系列器件进行配置重构,而且可以用来对MAX 9000以及MAX 7000SMAX 7000A等器件进行编程。ByteBlaster有两种配置模式:被动串行模式(PS)一常用来配置重构FLEX10K、FLEX 8

28、000和FLFX 6000系列器件、边界扫描模式(JTAG)一具有边界扫描电路的配置重构或在线编程。三、ByteBlaster的连接及原理ByteBlaster下载电缆具有以下几部分:与PC机并口相连的25针插座头;与PCB板插座相连的10针插头;25针到10针的变换电路。1ByteBlaster 25针插头。ByteBlaster与PC机并口相连的是一个25针的插头,在PS和JTAG两种模式下具有不同的名称,如表3-2所示。2ByteBlasterl0针插头ByteBlaster的10针插头是与PCB板上的10针插座连接的。表3-3中列出了Byte Blaster在两种不同模式下的各引脚名称

29、。2.3 放大滤波电路设计2.3.1 放大电路的设计当R1=R2 R3=R4时候,上式将变为令R1=3K,R3=100K,则此放大电路的放大倍数为:-33.3倍。 图2-5 第一级差动放大电路 图2-6 第二级放大电路 图2-7 整体放大电路 令VR1=50K,R3=10K,R1=1K,根据此公式可以计算出第二级电路放大倍数为1060倍。同样道理,第三级电路的放大倍数为120倍,所以总的放大倍数为33339960倍。2.3.2 滤波电路的设计利用运放作为有限增益可控源的二阶低通滤波器,其传递函数为:【10】与二阶低通滤波器标准式相比较,得: 图2-8 有限增益低通滤波器对该电路来讲,有R1、R

30、2、C1、C2、H0五个参数可选择,但只有上述三个关系式,因而在元件选择上有一定的自由度;一种方法是令R1=R2=R,C1=C2=C,则有 故当,Q已知时候,有:,当R3=、R4=0时候有:H0=1, 图2-9 二阶滤波电路2.4 A/D采集电路设计模拟信号经过放大隔离后要进行A/D转换变成数字量,计算机才能处理。ADC的最主要性能指标就是分辨率和转换时间,这两条取决于测试设备的精度要求和信号变化速率。由于称重台范围是03000公斤,而要求误差为1公斤以下,所以满足:1/2121/30001/211图2-10 AD1674的工作时序由图可见2-12,AD1674的工作状态由CE、R/、12/、

31、五个控制信号决定,当CE=1, =0同时满足时,才能处于工作状态。当AD1674处于工作状态时,R/=0时启动A/D转换;当R/=1时进行数据读出。12/和端用来控制转换字长和数据格式。=0时启动转换,则按完整的12位A/D 转换方式工作,如果按=1启动转换,则按8位A/D转换方式工作。当AD1674处于数据读出工作状态(R/=1)时,和 12/成为输出数据格式控制端。12/=1,对应12位并行输出;12/=0,则对应8位双字节输出。其中=0时输出高8位, =1时输出低4位,并以4个0补足尾随的低4位。必须指出12/端与TTL电平不兼容,故只能用硬布线接至+5V或0V上。另外在数据输出期间不能变化。表2-1为AD1674逻辑控制真值表。表2-1 AD1674逻辑控制真值表CER/12/工作状态0禁止1禁止1000启动12位转换1001启动8位转换101接1脚(+5V)12位并行输出101接15脚(0V)0高8位并行输出101接15脚(0V)1低4位加尾随4个0根据以上分析得到AD1674与

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1