ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:130.27KB ,
资源ID:7698920      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7698920.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA交通灯控制电路设计.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA交通灯控制电路设计.docx

1、EDA交通灯控制电路设计设计课题二:交通灯控制电路设计一、问题描述:交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。二、功能要求:要完成本实验,首先必须了解交通路灯的燃灭规律。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通灯的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿

2、灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。系统要求实现对2组交通灯控制信号的模拟输出,其控制规律为如上所述,同时要完成等待时间模拟的输出,即红绿灯的持续时间倒数输出。系统时钟输入为一个1KHz的系统时钟。一、交通灯控制电路设计的主要功能交通灯控制电路设计遵循“红灯停,绿灯行,黄灯提醒”原则。其初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口

3、的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。系统要求实现对2组交通灯控制信号的模拟输出,其控制规律为如上所述,同时要完成等待时间模拟的输出,即红绿灯的持续时间倒数输出。系统时钟输入为一个1KHz的系统时钟。本实验中主要应用了状态机以及减法器的设计原理。在状态连续变化的数字系统设计中,采用状态机的设计思想有利于提高设计效率,增加程序的可读性,减少错误的发生几率。同时,状态机的设计方法也是数字系统中一种最常用的设计方法。二、课题的功能模块的划分 三、主要功能的实现 1.1倒计时模块倒计时模块采用减法计数器设计,等到时钟的上升沿来到时,对计数器进行计数。其中减法计数器的

4、代码如下: process(lock,clk) begin if lock=1 then cnt=init; else if clkevent and clk=1 then cnt=cnt-1; if cnt=0 then ov=1; end if; end if; time=cnt; end if; end process;1.2交通灯控制转换模块在设计交通灯控制电路转换模块时,采用状态机来实行这个功能模块,其中状态机共有五个状态,如:两个路口的红灯全亮;东西路口的绿灯亮,而南北路口的红灯亮;在延时后,东西路口绿灯灭,黄灯开始闪烁;在闪烁若干次后,东西路口的红灯亮,同时南北路口的绿灯亮;在延

5、时后,南北路口的绿灯灭,黄灯开始闪烁;在闪烁若干次后,在切换到东西路口方向。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity trafic_light isport (clk,rst:in std_logic; -时钟信号,复位信号 time:out integer range 0 to 59; -时间信号 r1,r2,y1,y2,g1,g2:out std_logic);end trafic_light ;architecture rt1 of trafic_light is typ

6、e state is(r1r2,r1g2,r1y2,r2g1,r2y1); signal n_state ,c_state:state; signal cnt ,init:integer range 0 to 49; signal lock,ov:std_logic;begin state_reg:process(clk,rst) begin if rst=1then c_state=r1r2; elsif clkevent and clk=1then c_state r1=1;g2=1; y1=0; r2=0;g2= 0;y2=0; init=9;lock=1;n_stater1=1;g2=

7、1; y1=0; r2=0;g2= 0;y2=0; init=0;lock=0; if ov=1 then n_state=r1y2; else n_stater1=1;y2=1;g1=0; r2=0;y1=0;g2=0; init=49;lock=1;n_stater1=1;y2=1;g1=0; r2=0;y1=0;g2=0; init=0;lock=0; if ov=1 then n_state=r2g1; else n_stater2=1;g1=1;y1=0; r1=0;g2=0;y2=0; init=9;lock=1;n_stater2=1;g1=1;y1=0; r1=0;g2=0;y

8、2=0; init=0;lock=0; if ov=1 then n_state=r2y1; else n_stater2=1;y1=1;g1=0; r1=0;y2=0;g2=0; init=49;lock=1;n_stater2=1;y1=1;g1=0; r1=0;y2=0;g2=0; init=0;lock=0; if ov=1 then n_state=r1g2; else n_staten_state=r1r2; end case; end process;四、系统调试与仿真初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯

9、灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。五、总结与体会通过这次EDA课程设计,我不仅熟悉了Quartus软件的使用方法,而且学习了VHDL 基本逻辑电路和状态机电路的综合设计应用。理论结合实际,很好地实现了学以致用。除此之外,还加强了我思考和解决问题的能力.现在设计已经做好了,自己感觉还是比较好的,虽然花了很多的时间,但学到了很多东西.做课程设计的时候,自己把整个书本都看了几遍,还在网上查阅了很多的有关交通灯控制电路设计的资料,大大增强了自己对知识

10、的理解,很多以前不是很懂的问题现在都已经一一得以解决了。EDA设计我感觉程序调试、试验软件、硬件熟悉最重要。在编完各模块程序之后,编译查错最初有三十几个错误,有输入错误、语法错误。一遍一遍的变异查错,直到没有错误。必须注意工程名和实体名一致,不然一般会出错。在没有错误之后可以进行波型仿真。若与理想的不同,再查看程序,有无原理上的编辑错误或没有查出的输入错误。这次课程设计之后,使我明白了,做任何事情都要认真仔细,不然的话,你会花更多的时间才会做好.课程设计有利于提高我们的动手能力,能把我们所学的书本知识运用到实际生活中去.同时也丰富了我们的业余生活,提高我们对知识的理解能力。六、附件 libra

11、ry ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity trafic_light isport (clk,rst:in std_logic; -时钟信号,复位信号 time:out integer range 0 to 59; -时间信号r1,r2,y1,y2,g1,g2:out std_logic); -交通灯控制信号end trafic_light ; architecture rt1 of trafic_light istype state is(r1r2,r1g2,r1y2,r2g1,r2y1

12、);signal n_state ,c_state:state;signal cnt ,init:integer range 0 to 49;signal lock,ov:std_logic;beginstate_reg:process(clk,rst)beginif rst=1then c_state=r1r2;elsif clkevent and clk=1thenc_state r1=1;g2=1; y1=0;r2=0;g2= 0;y2=0;init=9;lock=1;n_stater1=1;g2=1; y1=0;r2=0;g2= 0;y2=0;init=0;lock=0;if ov=1

13、 then n_state=r1y2;else n_stater1=1;y2=1;g1=0;r2=0;y1=0;g2=0;init=49;lock=1;n_stater1=1;y2=1;g1=0;r2=0;y1=0;g2=0;init=0;lock=0;If ov=1 then n_state=r2g1;else n_stater2=1;g1=1;y1=0;r1=0;g2=0;y2=0;init=9;lock=1;n_stater2=1;g1=1;y1=0;r1=0;g2=0;y2=0;init=0;lock=0;if ov=1 then n_state=r2y1;else n_stater2

14、=1;y1=1;g1=0;r1=0;y2=0;g2=0;init=49;lock=1;n_stater2=1;y1=1;g1=0;r1=0;y2=0;g2=0;init=0;lock=0;if ov=1 then n_state=r1g2;else n_staten_state=r1r2;end case;end process;process(lock,clk)beginif lock=1 thencnt=init;else if clkevent and clk=1 thencnt=cnt-1;if cnt=0 thenov=1;end if;end if;time=cnt;end if;end process;end rtl;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1