ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:182.93KB ,
资源ID:7684854      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7684854.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于VHDL的多功能数字钟设计报告.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于VHDL的多功能数字钟设计报告.docx

1、基于VHDL的多功能数字钟设计报告基于VHDL的多功能数字钟设计报告021215 班卫时章02121451一、设计要求1、 具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。2、 设计精度要求为1秒。二、 设计环境:Quartus II三、 系统功能描述1、 系统输入:时钟信号elk采用50MHz;系统状态及较时、定时转换的控制 信号为k、set,校时复位信号为reset,均由按键信号产生2、 系统输出:LED显示输出;蜂鸣器声音信号输出。3、 多功能数字电子钟系统功能的具体描述如下:(一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢 整点报时。(2)校时:在

2、计时显示状态下,按下“k”键,进入“小时”待校准状态,若 此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态; 继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时 间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校 准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset 键,则系统恢复到正常计数状态。(1) “小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。(2) “分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁, 并按

3、下“set”键时以2Hz的频率递增计数。(3) “秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁, 并以1Hz的频率递增计数。(4) 闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数 码管以2Hz闪烁,并按下“s巳键时以2Hz的频率递增计数。(5) 闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以一输入时钟信号-每来个时钟上升沿时cout开始计数 -当 cout=25000 时,qlKHz 输出 “0” ;-当 25000cout=50000 时,qlKHz-输出T,完成lKHz频率输出2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。(

4、三) 整点报时:蜂鸣器在“59”分钟的第51”、“53”、“55”、57”秒发频率 为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的髙音,结束时 为整点。(四) 显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组 led灯以4位BCD码显示。(五) 闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为 60秒。四、各个模块分析说明1、分频器模块(freq, vhd)(1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出lKHz 的 qlKHz, 500Hz 的 qSOOHz, 2Hz 的 q2Hz 和 1Hz 的 qlHz。(2)源

5、程序: library ieee; use ieeestd logic_l164.all; use ieee std logiconsigned all;entity freq is port (CLK: in std logic ;qlKHz: buffer std logic; q500Hz: buffer std logic; q2Hz: buffer std logic; qlHz: out std logic): end freq;architecture bhv of freq is beginP1KHZ:process(CLK) variable cout:integer:=0;

6、 beginif CLK1 event and CLK二T then cout:=cout+l;if cout=25000 then qlKHz=0; elsif cout50000 then qlKHz=,1 else cout:=0;end if;end if;end process;P500HZ: process (qlKHz) qlKHz 作为输入信号,分出 qSOOHzvariable cout:integer:=0;beginif q 1 KHzrevent and qlKHz=T thencout:=cout+l;if cout=l then q500Hz=0; 二分频elsif

7、 cout=2 then cout:=0:q500Hz=r T;end if;end if;end process;P2HZ:process(q500Hz)variable cout:integer:=0;beginif q500Hzrevent and q500Hz二T then cout:=cout+l;if cout=125 then q2Hz=0;elsif cout0flash hflashnnflashss-eLsfwwj instl二选一模块(mux21a. vhd)(1)源程序: library ieee; use ieeestd logic_l164.all; use iee

8、e std logic_unsigned. all; entity mux21a is port(a,b,s:in bit;y:out bit);end entity mux21a; architecture one of mux21a isbeginprocess (a,b,s)beginif s=0, theny=a; 若s=0, y输出a,反之输出b。else yD3.Oski17.4coc.小时计时(hour, vhd)hour dk sk33.0J4sMip.4:inst6d.闹钟分计时(cntm60b. vhd)(1)仿真波形图:Nano33 u, 5.9? 3 8. L3.印 u

9、, IS. IT 18.7? 3 21.2.9 g 3LnjuinirLnarLnnmarLnnnjwnjiRnnrLruwinjumnmnnjuuiruinrBP亘mirrbii en sld03.0J4sdk sldip.4Jinst7e.闹钟小时计时(cnth24b. vhd) (1)仿真波形图: -Gnttib en sWO3.O;dk sldip.4J *; !: !:irast8 j5、闹钟比较模块(compare, vhd)(1) 模块说明:比校正常计数时间与闹钟定时时间是否相等,若相等,compout 输出T,反之输出“0”。(2) 仿真波形图:1 -compareth17.4

10、j oompouttM3.Ot(nO3.Obhip.4bmf7.,4bh03.,00;bmO3.0j inst6、报时模块(bell.vhd)(1) 模块说明:该模块既实现了整点报时的功能,又实现了闹铃的功能,蜂鸣 器通过所选频率的不同,而发出不同的声音。(2) 仿真波形图:Wane1 ps 5. 1? us 10.4 us 】5.3p us 20 4f8 us 25. us 30 72 us16. 5 ns# openbelcompout1 = ! = = 1 = iqlKKz曲测蒯ill咂测皿测测呱测i删测呱q500HzjmnjwinjTrLnjTrLnnnjTrLrLnjwuuirLnj

11、irLnjinjinrLnjinjirLrLrL(1 tnl 5j tnO: 913 tsl: 5 tsO:0XlX2:3X4X5X&X7:6:mX0)(lX2:3)(4X5X6X7:6)(9X0bell lllllWMllll皿L _n_n_ nn . _nn . jitl 一 iiil _(3)模块图:j tell!tellts.tp.4 tmop.ots.O3.O compout qlKHz q5O3Hz opsnbel! irrstl 17x控制显示模块(show_con vhd)(1) 模块说明:该模忘实现了数码管既可以显示正常时间,又可以显示闹钟时 间的功能;调时过程的定时闪烁功能

12、也在此模块中真正实现。(2) 源程序:library ieee;use ieeestd logic_l164.all;use ieee std logiconsigned all;entity showcon isseel,mini,hl: out std logic_vector(7 downto 4); sec0,min0,hO: out std logic_vector(3 downto 0): q2Hzt flashs,flashh,flashm,sel_show:in std logic);end show con; architecture rtl of show con isbe

13、ginprocess(thl,tml,tsl,thO,tmO,tsO,bhl,bml,bhO,bmO,q2Hz,f1ashs,f1ashh,fl ashm,sei show)beginif sel_show=r 0r thenif ( flashh=,11 and q2Hz=,1)then hl=,llir,;hO=,llir,; 一显示小时数码管以2Hz闪烁 minl=tml;min0=tm0;secl=tsl;sec0=ts0;elsif (flashm=1and q2Hz=,1)thenhl=thl;hO=thO; mini=wllllw;minO=wllllff;secl=tsl;se

14、c0=ts0;elsif (flashs=1and q2Hz=,1)thenhl=thl;hO=thO;minl=tml ; min 0=tni0;secl=Bllir,;secO=ttllllw;elsehl=thl;hO=thO;minl=tml;min0=tm0; secl=tsl;secO=tsO; end if;elsif sei show二T then若 sei show 为1”,数码管显示闹钟时 间 一 一if (flashh二T and q2Hz二T ) then hi=,iiii,;ho=ffinr,; minl=bml ; minO=:bmO;secl=tt0000”;se

15、c 0=0000”;elsif ( flashm二T and q2Hz二T)thenhl=bhl;hO=bhO;minl=ffllir,;minO=,,llir,;sec 1=0000“;sec0=0000”;elsehl=bhl;hO=bhO;mini=bml;min0=bm0;sec1=0000 ”;sec0=0000“;end if ;end if;end process;end rtl;(3)模块图::show oorath1|7.41sac17.4JLtm17.4)Lts17.,4hip.4)LthO3.OSfe&33.0Lwop.0min(X3.0rts-93.O)LbMp.4)b

16、m17.4JbW|3.Ojq2Hziflashsifias-hhifl35-hmsel_5howinstil)8 动态扫描显示模块(scarified, vhd)(1) 模块说明:由4组输入信号和输出信号进而实现了时钟时、分的动态显示。(2) 源程序:library ieee;use ieeestd logic_l164.all;use ieee std logic_unsigned all; entity scan_led isport (clkl:in std logic;hO:in std logic_vector(3 downto 0): hl:in std logic_vector(

17、7 downto 4); minO:in std logic_vector(3 downto 0): mini: in std logic_vector(7 downto 4);ML:outMH:outHL:outHH:out );std logic_vector(7 std logic_vector(7 std logic_vector(7 std logic_vector(7downto downto downto downto0)0)0)0)end scan led; architecture one of sean led issignalt4:std logic_vector(l d

18、ownto 0): signal a: std logic_vector(3 downto 0); beginpl:process(clkl)beginif clklrevent and clkl 二i then t4=cnt4+l;ift4=3 thent4case minO iswhen 0000 w=MLMLMLMLMLMLMLMLMLMLcase mini iswhen ff0000w=MHMHMHMHMHMHMHMHMHMHcase hO iswhen 0000”=HLHLHLHLHLHLHLHLHLHLNULL;end case;when ,H,=case hl iswhen 00

19、00”=HHHHHHHHHHHHHHHHHHHHNULL;end case;when others =null;end case;end process p2; end one;(3)模块图:j scan_ted dklML7.O-4rHX3.0MH7.OTh17.4jHL7.Omirv33. .0Bmin17.4;inst17五、端口设定k: button2 , set: buttonl , reset: buttonO ;Bell: SW1用于开关蜂鸣器;=Q 忤Qa 就 rwls()pe)AST iiTTaTGrep) as*2 irfiflQ卩吨asp 匹“(ir) asz SFTT3

20、 哪)asp orTiaOrcpjftsi SHF(4FW)A5*Z STiTGfchp) As*i on ihOfvp) ast rhfzs:m - t .= r; : . -0PW)AS7 tGOPOPP)AS7 【心(myftwz wra珂 tS25単呼呼制 ligg缈呷仮 TiigSSi: -i j.=TiS(W 卿)便 onTzflQP 卿Wl INiQ与綱A? fSTZaOrep) as- onTI6pw) as*z 537JOrvp) AS*Z OnTF4Fep)ft$-Z STTb*ftpcw) AS*Z DNZfl3 沖)as 丘 on7b“却 A 2ON LB4叽即)EON ZQ却 AST:DhTZBOfCp)AS7otTzb-Q 咛p)AST| : OF VP) ASTorrisqQMPP”讥I2d 2CMd ToJd uTSod bud ba TaTEd TbTHj eFmjrczojncxinoE;P)gpFG-noinch:Mis o iTS石呼 QOkins4 更 T 丘 ZE ZE uZH N3d :I汀皿 【Ed nHMd : :! nsMJd nrwid nrwjd nTNJd 就VMM nrwid a3Njd

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1