ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:227.65KB ,
资源ID:7671868      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7671868.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计报告.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计报告.docx

1、EDA课程设计报告EDA技术应用课程设计报告专 业: 通信工程 班 级: 10312 姓 名: 付章松 指导教师: 彭敏 王瑞瑛 2012 年 12 月 6 日 目录1. 设计任务及要求与分工 - 1 -2. 设计方案 - 1 -3. 模块设计 - 2 -3.1主控模块 - 2 -3.2时钟分频模块 - 4 -3.3左边灯控制模块 - 6 -3.4右边灯控制模块 - 8 -3.5顶层文件 - 10 -4. 整体设计 - 11 -4.1系统仿真结果图 - 12 -4.2系统电路图 - 12 -5. 硬件设置与测试 - 13 -6.心得体会 - 13 -7.指导老师意见 - 14 -8.参考资料

2、- 14 - 汽车尾灯控制器的设计1.设计任务及要求与分工 个人任务: 在该组负责收集整理资料并且写报告,在收集资料方面,多数是在互联网上,XX文库中搜索相关资料,但是资料有限且大多相同,另外,在图书馆部分书籍上看到有关资料并抄下来,资料基本齐全,设计的成功依赖于好的程序和有序的运作以及各成员的分工合作,以下是详细设计。 设计任务:假设汽车尾部左右两侧各有3盏指示灯,其控制功能应包括:(1) 汽车正常行驶时指示灯都不亮。(2) 汽车右转弯时,右侧的一盏指示灯亮。(3) 汽车左转弯时,左侧的一盏指示灯亮。(4) 汽车刹车时,左右两侧的一盏指示灯同时亮。(5) 汽车在夜间行驶时,左右两侧的一盏指示

3、灯同时一直亮,供照明使用。设计要求:(1) 采用VHDL语言编写程序,并在QuartusII工具平台中进行开发,下载到EDA实验箱进行验证。(2) 编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。2.设计方案大致设计方案如下: 根据系统设计要求,系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方案,它是由时钟分频模块、汽车尾灯主控模块、左边灯控制模块、右边灯控制模块四部分组成。 图2-1 模块关系系统的输入信号包括:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT。系统的输出信号包括:汽车左侧

4、3 盏指示灯LLED1,LLED2,LLED3和汽车右侧3 盏指示灯RLED1,RLED2,RLED3。当汽车正常行驶时所有的指示灯都不亮,当汽车向左转时,汽车左边的指示灯LLED1亮,当汽车向右转时,汽车右边的指示灯RLED1亮,当汽车刹车时,左右的LLED2、RLED2亮,当汽车夜间行驶时,汽车左右的LLED3、RLED3一直亮。通过编写相关VHDL语言程序实现以上功能,将四个模块的各个程序编写出来作为相应的底层文件,然后再设计一个顶层文件将四个不同模块连接起来,实现成整体的程序。通过不同模块生成相应的元件,将生成的各个元件按功能进行连接形成整体的设计电路。在完成编程后,再进行功能,时序仿

5、真,最后进行硬件检测。3.模块设计3.1主控模块 图3-1主控模块 输入信号: 输出信号: LEFT:左转信号; LP:左侧灯控制信号; RIGHT:右转信号; RP:右侧灯控制信号; BRAKE:刹车信号; LR:错误控制信号; NIGHT:夜间行驶信号; BRAKE_LED:刹车控制信号; NIGHT_LED:夜间行驶控制信号;模块程序()LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY MC ISPORT(LEFT,RIGHT,BRAKE,NIGHT:IN STD_LOGIC; LP,RP,LR,BRAKE_LED,NIGHT_LED:OU

6、T STD_LOGIC);END MC;ARCHITECTURE ART OF MC ISBEGIN NIGHT_LED=NIGHT;BRAKE_LEDLP=0;RP=0;LRLP=0;RP=1;LRLP=1;RP=0;LRLP=0;RP=0;LR=1;-当汽车刹车时,左右灯都亮END CASE;END PROCESS;END ART;功能:该段程序用于对汽车尾灯进行整体控制,当输入为左转信号时,输出左侧灯控制信号;当输入为右转信号时,输出右侧灯控制信号;当同时输入LEFT和RIGHT信号时,输出错误控制信号。当输入为刹车信号时,输出刹车控制信号;当输入为夜间行驶信号时,输出为夜间行驶控制信号

7、。仿真图:图3-2时序仿真图3-3功能仿真3.2时钟分频模块图3-4时钟分频模块模块程序(CLK_1.vhd)LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CLK_1 IS PORT(CLK:IN STD_LOGIC; CP:OUT STD_LOGIC);END; ARCHITECTURE ART OF CLK_1 ISSIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(CLK) BEGIN IF CLKEVENT

8、AND CLK =1THEN COUNT=COUNT+1; END IF; END PROCESS; CP=COUNT(2); -进行8分频END ART; 功能: 这块的功能是对左右两边的LLED1、RLED1的闪烁时间间隔,以CLK为输入信号, CP为输出信号,在程序中定义一个八位节点信号COUNT来放计数值,当CLK的上升沿到来时就开始计数,最后将COUNT(2)给CP,实现对CLK的8分频,再将CP的电平信号分别和LEDL、LEDR电平与,最后用输出的电平来控制汽车左右的LLED1、RLED1,实现左右转的指示功能。仿真图: 图3-5 时钟分频模块仿真3.3左边灯控制模块 图3-6左灯

9、控制模块输入信号: CLK:时钟控制信号;LP:左侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;输出信号:LEDL:左侧LD1灯控制信号;LEDB:左侧LD2灯控制信号;LEDN:左侧LD3灯控制信号;模块程序()LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY LC IS PORT(CLK,LP,LR,BRAKE,NIGHT:IN STD_LOGIC; LEDL,LEDB,LEDN: OUT STD_LOGIC); END; ARCHITECTURE ART OF LC IS BEGIN LEDB=B

10、RAKE; LEDN=NIGHT; PROCESS(CLK,LP,LR) BEGIN IF CLKEVENT AND CLK = 1 THEN -时钟上升沿有效IF(LR =0)THEN -没有错误信号时 IF(LP = 0)THEN -没有左转信号LEDL=0; -左信号灯不亮ELSE -相反情况LEDL=1; END IF; ELSE LEDL =0; END IF; END IF; END PROCESS; END ART; 功能:本程序用于控制左侧灯的亮、灭和闪烁情况,当时钟上升沿信号和左侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,左侧相应的灯亮或出现闪烁。当错误控制信号出现时

11、,LD1灯不亮。仿真图: 图3-7时序仿真 图3-8功能仿真3.4右边灯控制模块 图3-9右灯控制模块输入信号:CLK:时钟控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;输出信号:LEDR:右侧RD1灯控制信号;LEDB:右侧RD2灯控制信号;LEDN:右侧RD3灯控制信号;模块程序()LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY RC IS PORT(CLK,RP,LR,BRAKE,NIGHT:IN STD_LOGIC; LEDR,LEDB,LEDN: OUT STD_LOGI

12、C); END; ARCHITECTURE ART OF RC ISBEGIN LEDB=BRAKE; LEDN=NIGHT; PROCESS(CLK,RP,LR) BEGIN IF CLKEVENT AND CLK = 1 THEN -时钟上升沿有效IF(LR = 0)THEN -没有错误信号时IF(RP = 0)THEN -没有右转信号时LEDR =0; -右信号灯不亮 ELSE -相反情况 LEDR = 1; END IF; ELSE LEDR =0; END IF; END IF; END PROCESS; END ART;功能: 本描述用于控制右侧灯的亮、灭和闪烁情况,当时钟上升沿信

13、号和右侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,右侧相应的灯亮或出现闪烁。当错误控制信号出现时,RD1灯不亮。仿真图:图3-10时序仿真 图3-11功能仿真3.5顶层文件(ourdesign.vhd)Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity ourdesign isPort(clk:in std_logic;Left:in std_logic;Right:in std_logic;Brake:in std_logic;Night:in std_logic;Ld1,Ld

14、2,Ld3:out std_logic;Rd1,Rd2,Rd3:out std_logic);End;Architecture aabb of ourdesign isComponent CLK_1 isPort(clk:in std_logic;Cp:out std_logic);End component;Component MC isPort(left,right,brake,night:in std_logic;Lp,rp,lr,brake_led,night_led:out std_logic);End component;Component LC isPort(clk,lp,lr,

15、brake,night:in std_logic;Ledl,ledb,ledn:out std_logic);End component;Component RC isPort(clk,rp,lr,brake,night:in std_logic;Ledr,ledb,ledn:out std_logic);End component;Signal t0,t1,t2,t3,t4:std_logic; -定义中间信号以方便元件例化Signal e0,e1,e2,e3,e4,e5:std_logic;signal tm:std_logic;beginU1:CLK_1 port map(clk,tm)

16、;U2:MC port map(left,right,brake,night,t0,t1,t2,t3,t4);U3:LC port map(clk,t0,t2,t3,t4,e0,e1,e2);U4:RC port map(clk,t1,t2,t3,t4,e3,e4,e5);Ld1=e0 and tm;Ld2=e1;Ld3=e2;Rd1=e3 and tm;Rd2=e4;Rd3=e5;End architecture aabb; 功能:采用元件例化的设计方法将各个底层模块连接起来,整体完成汽车尾灯功能。4.整体设计4.1系统仿真结果图图4-1系统仿真4.2系统电路图 图4-2系统电路图输入刹车信

17、号一直为高电平,输出LD2灯和RD2灯也为长亮;左转信号为高电平时,LD1灯闪烁,右转信号为高电平时,RD1灯闪烁;当左转信号和右转信号同时为高电平时,LD1灯和RD1灯都不亮;夜间行驶信号为高电平时,LD3灯和RD3灯同时亮。波形仿真结果满足预期的功能。5.硬件设置与测试选用硬件芯片为EP1K30T144-3端口设置:输入端口:Clk-PIN125选用1Hz脉冲 开关控制LEFT-PIN32-SW1 RIGHT-PIN33-SW2BRAKE-PIN36-SW3 NIGHT-PIN37-SW4输出端口: 彩灯显示LD1-PIN31-D112LD2-PIN30-D111LD3-PIN29-D11

18、0RD1-PIN26-D107RD2-PIN27-D108RD3-PIN28-D109测试结果:当打开单独左转开关,D112(LD1)亮;当打开单独右转开关,D107(RD1)亮;当左右同时打开时,D112,D107(LD1,RD1)都不亮;当打开夜行或刹车开关时,D111和D108(LD2和RD2)都亮或D110和D109(LD3和RD3)都亮。6心得体会 设计让我们充分主动,在各方面,由小组合作,分工明确,目标明了,在充分找到资料后,认真熟悉与分析原理,调起了学生的设计兴趣,在编程方面,姜理论知识运用于实际,将所学知识充分运用,由于缺乏实验器材,只能在电脑上仿真,每一步都体现了设计的人性化和团队合作的重要性,开发了学生的创造力与思考能力,调动了学生做事的主动性。7.指导老师意见8.参考资料【1】邹彦、庄严等编.EDA技术与数字系统设计.北京:电子工业出版社,2008【2】张庆双主编.实用电子电路200例.北京:机械工业出版社, 2005【3】赵世强、许杰等编.电子电路EDA技术.西安:西安电子科技大学出版社,2000【4】清华大学电子学教研组编.余孟尝主编.数字电子技术.第三版.北京:高等教育出版社,2006【5】黄仁欣.EDA技术实用教程.北京:清华大学出版社,2006【6】江国强.EDA技术与应用.北京:电子工业出版社,2004

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1