ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:238.25KB ,
资源ID:7669453      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7669453.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(利用按键开关控制数码管进行十六进制数字显示说明书 2.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

利用按键开关控制数码管进行十六进制数字显示说明书 2.docx

1、利用按键开关控制数码管进行十六进制数字显示说明书 2中北大学课 程 设 计 说 明 书学生姓名:XXX学 号:XXX学 院:仪器与电子学院专 业:微电子科学与工程题 目:利用按键开关控制数码管进行十六进制数字显示指导教师: 李圣昆 职称: 讲师 2014 年 6 月 22 日1、课程设计目的 (1)学习操作数字电路设计实验开发系统,掌握数码管的工作原理及应用。(2)掌握组合逻辑电路、时序逻辑电路的设计方法。(3)学习掌握可编程器件设计的全过程。2、课程设计内容和要求2.1、设计内容 利用按键开关控制数码管进行十六进制显示。2.2、设计要求(1)学习掌握拨码开关控制模块、数码管的工作原理及应用;

2、(2)熟练掌握VHDL编程语言,编写按键开关控制模块的控制逻辑;(3)仿真所编写的程序,模拟验证所编写的模块功能;(4)下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;(5)整理设计内容,编写设计说明书。3、设计方案及其实现3.1、 设计思路由于七段数码管是共阴极的,顾可以用七个电平驱动数码管的显示。通过对不同管脚的点亮,使数码管显示十六进制的每个数字。可以用四个拨码开关组合 成4位BCD以表示十六进制。当四位BCD变化时,在输出端输出相应的高低电平以驱动七段数码管的显示。3.2、 工作原理及框图 七段共阴极数码管由数码管由abcdefg七段组成 ,分别对不同的引脚写高电平

3、可以点亮。总体原理图如下图:图1 总体原理图七段数码管如下图所示:图2 七段数码管原理图由图知,如果要显示数字“0”,只需点亮abcdef段,对应的输出端电平为1111110. 要显示数字“1”, 只需点亮bc段,对应的输出端电平为0110000. 要显示数字“2”,只需点亮abdeg段,对应的输出端电平为1101101.同理可以显示其他数字和字母3.3、模块功能描述根据输出功能的要求,写出如下vhdl代码已实现所需的功能。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shiliu

4、 isport(clk:in std_logic; reset:in std_logic; con:in std_logic_vector(3 downto 0); q:out std_logic_vector(6 downto 0);end mzg;architecture behave of mzg issignal coni:std_logic_vector(6 downto 0);beginprocess(clk,reset,con)beginif (reset=1) then coniconiconiconiconiconiconiconiconiconiconiconiconico

5、niconiconiconiconi=coni; end case; end if;q=coni; end if;end process;end behave;3.4、 仿真结果通过拨动开关对输入端依次输入BCD码,然后仿真得出以下波形图。图3 仿真波形图3.5、 实验箱验证情况将程序仿真编译后下载到FPGA芯片,拨动拨码开关,可以在数码管上依次显示十进制的数字,如下面的图所示:图4 显示0图 图5 显示1图图6 2显示图 图7 3显示图 图8 4显示图 图9 5显示图 图10 6显示图 图11 7显示图 图12 8显示图 图13 9显示图 图14 10(A)显示图 图15 11(b)显示图

6、图16 12(C)显示图 图17 13(d)显示图 图16 12(C)显示图 图17 13(d)显示图 4、课程设计总结PLD的发展趋势:向高集成度、高速度方向进一步发展,最高集成度已达到400万门;向低电压和低功耗方向发展,内嵌多种功能模块RAM,ROM,FIFO,DSP,CPU方向发展;向数、模混合可编程方向发展。以此我们必须掌握FPGA的开发及应用。要实现某一功能,首先应根据要求查找相关资料,确定实现方案。然后设计输入,对输入的文件编译后波形仿真。经过仿真后下载到FPGA再进行验证,最终实现功能。同时本次课程设计对数字电子技术有了更进一步的熟悉,实际操作和课本上的知识有很大联系,但又高于

7、课本,一个看似很简单的电路,要动手把它设计出来就比较困难了,因为是设计要求我们在以后的学习中注意这一点,要把课本上所学到的知识和实际联系起来,同时通过本次电路的设计,不但巩固了所学知识,也使我们把理论与实践从真正意义上结合起来,增强了学习的兴趣,考验了我们借助互联网络搜集、查阅相关文献资料,和组织材料的综合能力。通过设计拔河游戏机控制电路,让我对数字逻辑这门课有了更深刻的了解,对电子器件的认识也不再停留在字面上,实现了从理论到实践的飞跃。我了解到理论和实践是分不开的。只有理论不行,当你面对一堆元气件时,你会茫然不知所措;可只懂实践也不行,当别人问你为什么会这样,怎么样才算正确,你如果说凭感觉是不足以服人的。学习的目的就是去应用,只有实践才能将书本知识转化为实用的技能。5、 参考文献1任勇峰,庄新敏.VHDL与硬件实现速成. 北京:国防工业出版社,20052. 侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计. 西安:西安电子科技大学出版社,1999 3.基于FPGA的数字系统设计.西安:西安电子科技大学出版社,20084.罗苑棠.CPLD/FPGA常用模块与综合系统设计实例精讲. 北京:电子工业出版社,2007

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1