ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:147.63KB ,
资源ID:7540879      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7540879.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计篮球球比赛计分器.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计篮球球比赛计分器.docx

1、EDA课程设计篮球球比赛计分器课程设计报告题目: 篮球比赛记分牌姓 名: 院 系: 专 业: 学 号:指导教师: 完成时间: 年 月 日设计题目篮球比赛记分牌设计要求 用PLD器件EP1K10TC100-3及7段译码显示数码管,设计一个篮球比赛记分牌,具体要求如下:1、 根据比赛实际情况记录两队得分,罚球进的1分,进球的2分;2、 记分牌要具有纠错功能,能减1分、2分功能;3、 利用3个译码显示管输出比赛的分;设计过程(包括:设计方案上机设计与仿真结果硬件实验方案,及实验结果收获和体会) EDA技术以硬件描述语言来描述系统级设计,采用自顶向下的设计方法,并支持系统仿真和高层综合。VHDL语言具

2、有具有很强的行为描述能力和多层次描述硬件功能的能力,是系统设计领域中使用最多的硬件描述语言之一;具有标准、规范等优势,能在设计的各个阶段对电路系统进行仿真和模拟,使设计者在系统的设计早期就能检查设计系统的功能,极大的减少了可能发生的错误,减少了开发成本。设计方案: 利用一个D触发器,3个4位二进制全加器,一个二选一数据选择器,3个七段译码显示管组成电路,此电路具有加减、复位、显示等功能。能够满足比赛的实际要求。评定成绩指导教师评语课程设计等级1 课程设计题目内容与要求1.1 设计内容1.2 具体要求 2系统设计2.1 设计思路2.2 系统原理3 系统实现4 系统仿真5硬件验证(操作)说明6 总

3、结7参考书目一、 课程设计题目、内容与要求1.1课程设计的题目:篮球比赛记分牌1.2课程设计内容:1、 根据比赛实际情况记录两队得分,罚球进的1分,进球的2分;2、 记分牌要具有纠错功能,能减1分、2分功能;3、 利用3个译码显示管输出比赛的分;二、 系统设计2.1设计思路:篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:2.2 系统原理与设计说明系统各个模块的功能如下:1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。2、4为二进制全加器电路模块实现加法计数功能。3、移位寄存器电路模块保存比赛两队得分

4、情况的4个相邻状态,出错时将调用上一个正确状态。4、二选一数据选择器电路模块 用来控制移位寄存器5、 LED数码管驱动电路模块三、系统实现各模块电路的源程序如下:1、D触发器电路模块及程序:set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。 library ieee; use ieee.std_logic_1164.all; entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff;

5、architecture rtl_arc of sync_rsdff isbegin process(clk) begin if (clkevent and clk=1) then if(set=0 and reset=1) then q=1; qb=0; elsif (set=1 and reset=0) then q=0; qb=1; else q=d; qb=not d; end if; end if;end process; end rtl_arc;2、 移位寄存器模块电路及程序:library IEEE;use IEEE.std_logic_1164.all;entity shft_

6、reg is port ( DIR : in std_logic; CLK : in std_logic; CLR : in std_logic; SET : in std_logic; CE : in std_logic; LOAD : in std_logic; SI : in std_logic; DATA : in std_logic_vector(3 downto 0); data_out : out std_logic_vector(3 downto 0) );end shft_reg;architecture shft_reg_arch of shft_reg issignal

7、TEMP_data_out : std_logic_vector(3 downto 0);beginprocess(CLK)beginif rising_edge(CLK) thenif CE = 1 then if CLR = 1 then TEMP_data_out = 0000;elsif SET = 1 thenTEMP_data_out = 1111;elsif LOAD = 1 thenTEMP_data_out = DATA;elseif DIR = 1 then TEMP_data_out = SI & TEMP_data_out(3 downto 1);elseTEMP_da

8、ta_out = TEMP_data_out(2 downto 0) & SI;end if;end if;end if;end if;end process;data_out = TEMP_data_out;end architecture;3、二选一数据选择器电路模块及程序:entity mux isport(do,d1:in bit;sel:in bit;q:out bit);end mux;architecture a of mux is beginqa1,b=b1,sum=sum1,hcarry=cout1);u2:fulladd PORT MAP(in1=a2,in2=b2,cin

9、=cout1,fsum=sum2,fcarry=cout2);u3:fulladd PORT MAP(in1=a3,in2=b3,cin=cout2,fsum=sum3,fcarry=cout3);u4:fulladd PORT MAP(in1=a4,in2=b4,cin=cout3,fsum=sum4,fcarry=cout4);END add_arc;5、七段译码电路及程序:library ieee;use ieee.std_logic_1164.all;entity deled is port( datain:in std_logic_vector(3 downto 0); qout:o

10、ut std_logic_vector(6 downto 0) );end deled;architecture func of deled isbegin process(datain) begin if datain= 0000 then qout=1111110; elsif datain= 0001 then qout=0110000; elsif datain= 0010 then qout=1101101; elsif datain= 0011 then qout=1111001; elsif datain= 0100 then qout=0110011; elsif datain

11、= 0101 then qout=1011011; elsif datain= 0110 then qout=1011111; elsif datain= 0111 then qout=1110000; elsif datain= 1000 then qout=1111111; elsif datain= 1001 then qout=1111011; else null; end if; end process;end func;四、系统仿真1、D触发器电路模块仿真波形:2、移位寄存器模块电路仿真波形:3、二选一数据选择器电路模块仿真波形:4、加法计数器的电路模块仿真波形:5、七段译码电路仿真波形:五硬件验证说明这次设计采用的硬件电路有芯片EP1K10TC100-3,实验板上标准时钟电路、LED 显示等,六、总结七、参考书目1PLD与数字系统设计李辉 西安电子科技大学出版社 20052EDA技术及可编程逻辑器件应用实训沈明山 北京科学出版社 20043VHDL数字系统设计与高层次综合林敏 方颖立著北京:电子工业出版社 20024VHDL程序设计曾繁泰 陈美金著北京: 清华大学出版社 20015EDA技术实验与课程设计曹昕燕 周风臣 清华大学出版社 20056PLD器件与EDA技术李冬梅 北京广播学院出版社2000

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1