ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:36.90KB ,
资源ID:7535662      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7535662.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(半导体一些术语的中英文对照.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

半导体一些术语的中英文对照.docx

1、半导体一些术语的中英文对照半导体一些术语的中英文对照离子注入机 ion implanterLSS理论 Lindhand Scharff and Schiott theory又称“林汉德-斯卡夫-斯高特理论”。沟道效应 channeling effect射程分布 range distribution深度分布 depth distribution投影射程 projected range阻止距离 stopping distance阻止本领 stopping power标准阻止截面 standard stopping cross section退火 annealing激活能 activation en

2、ergy等温退火 isothermal annealing激光退火 laser annealing应力感生缺陷 stress-induced defect择优取向 preferred orientation制版工艺 mask-making technology图形畸变 pattern distortion初缩 first minification精缩 final minification母版 master mask铬版 chromium plate干版 dry plate乳胶版 emulsion plate透明版 see-through plate高分辨率版 high resolution p

3、late, HRP超微粒干版 plate for ultra-microminiaturization掩模 mask掩模对准 mask alignment对准精度 alignment precision光刻胶 photoresist又称“光致抗蚀剂”。负性光刻胶 negative photoresist正性光刻胶 positive photoresist无机光刻胶 inorganic resist多层光刻胶 multilevel resist电子束光刻胶 electron beam resistX射线光刻胶 X-ray resist刷洗 scrubbing甩胶 spinning涂胶 photo

4、resist coating后烘 postbaking光刻 photolithographyX射线光刻 X-ray lithography电子束光刻 electron beam lithography离子束光刻 ion beam lithography深紫外光刻 deep-UV lithography光刻机 mask aligner投影光刻机 projection mask aligner曝光 exposure接触式曝光法 contact exposure method接近式曝光法 proximity exposure method光学投影曝光法 optical projection expo

5、sure method电子束曝光系统 electron beam exposure system分步重复系统 step-and-repeat system显影 development线宽 linewidth去胶 stripping of photoresist氧化去胶 removing of photoresist by oxidation等离子体去胶 removing of photoresist by plasma刻蚀 etching干法刻蚀 dry etching反应离子刻蚀 reactive ion etching, RIE各向同性刻蚀 isotropic etching各向异性刻蚀

6、anisotropic etching反应溅射刻蚀 reactive sputter etching离子铣 ion beam milling又称“离子磨削”。等离子体刻蚀 plasma etching钻蚀 undercutting剥离技术 lift-off technology又称“浮脱工艺”。终点监测 endpoint monitoring金属化 metallization互连 interconnection多层金属化 multilevel metallization电迁徙 electromigration回流 reflow磷硅玻璃 phosphorosilicate glass硼磷硅玻璃

7、boron-phosphorosilicate glass钝化工艺 passivation technology多层介质钝化 multilayer dielectric passivation划片 scribing电子束切片 electron beam slicing烧结 sintering印压 indentation热压焊 thermocompression bonding热超声焊 thermosonic bonding冷焊 cold welding点焊 spot welding球焊 ball bonding楔焊 wedge bonding内引线焊接 inner lead bonding外引

8、线焊接 outer lead bonding梁式引线 beam lead装架工艺 mounting technology附着 adhesion封装 packaging金属封装 metallic packaging陶瓷封装 ceramic packaging扁平封装 flat packaging塑封 plastic package玻璃封装 glass packaging微封装 micropackaging又称“微组装”。管壳 package管芯 die引线键合 lead bonding引线框式键合 lead frame bonding带式自动键合 tape automated bonding,

9、TAB激光键合 laser bonding超声键合 ultrasonic bonding红外键合 infrared bonding微电子辞典Abrupt junction 突变结 Accelerated testing 加速实验 Acceptor 受主 Acceptor atom 受主原子 Accumulation 积累、堆积 Accumulating contact 积累接触 Accumulation region 积累区 Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Acti

10、vation 激活 Activation energy 激活能 Active region 有源(放大)区 Admittance 导纳 Allowed band 允带 Alloy-junction device合金结器件 Aluminum(Aluminium) 铝 Aluminum oxide 铝氧化物 Aluminum passivation 铝钝化 Ambipolar 双极的 Ambient temperature 环境温度 Amorphous 无定形的,非晶体的 Amplifier 功放 扩音器 放大器 Analogue(Analog) comparator 模拟比较器 Angstrom

11、 埃 Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程 Avalanche 雪崩 Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发 Background carrier 本底载流子 Background doping 本底掺杂 Backward 反向 Backward bias 反向偏置 Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙 Barrier 势垒 Ba

12、rrier layer 势垒层 Barrier width 势垒宽度 Base 基极 Base contact 基区接触 Base stretching 基区扩展效应 Base transit time 基区渡越时间 Base transport efficiency基区输运系数 Base-width modulation基区宽度调制 Basis vector 基矢 Bias 偏置 Bilateral switch 双向开关 Binary code 二进制代码Binary compound semiconductor 二元化合物半导体 Bipolar 双极性的 Bipolar Junction

13、 Transistor (BJT)双极晶体管 Bloch 布洛赫 Blocking band 阻挡能带 Blocking contact 阻挡接触 Body - centered 体心立方 Body-centred cubic structure 体立心结构 Boltzmann 波尔兹曼 Bond 键、键合 Bonding electron 价电子 Bonding pad 键合点 Bootstrap circuit 自举电路 Bootstrapped emitter follower 自举射极跟随器Boron 硼 Borosilicate glass 硼硅玻璃 Boundary conditi

14、on 边界条件 Bound electron 束缚电子 Breadboard 模拟板、实验板 Break down 击穿 Break over 转折 Brillouin 布里渊 Brillouin zone 布里渊区 Built-in 内建的 Build-in electric field 内建电场 Bulk 体/体内 Bulk absorption 体吸收 Bulk generation 体产生 Bulk recombination 体复合 Burn - in 老化 Burn out 烧毁 Buried channel 埋沟 Buried diffusion region 隐埋扩散区 Can

15、 外壳 Capacitance 电容 Capture cross section 俘获截面 Capture carrier 俘获载流子 Carrier 载流子、载波 Carry bit 进位位 Carry-in bit 进位输入 Carry-out bit 进位输出 Cascade 级联 Case 管壳 Cathode 阴极 Center 中心 Ceramic 陶瓷(的) Channel 沟道 Channel breakdown 沟道击穿 Channel current 沟道电流 Channel doping 沟道掺杂 Channel shortening 沟道缩短 Channel width

16、 沟道宽度 Characteristic impedance 特征阻抗 Charge 电荷、充电 Charge-compensation effects 电荷补偿效应 Charge conservation 电荷守恒 Charge neutrality condition 电中性条件 Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储 Chemmical etching 化学腐蚀法 Chemically-Polish 化学抛光 Chemmically-Mechanically Polish (CMP) 化学机械抛光 C

17、hip 芯片 Chip yield 芯片成品率 Clamped 箝位 Clamping diode 箝位二极管 Cleavage plane 解理面 Clock rate 时钟频率 Clock generator 时钟发生器 Clock flip-flop 时钟触发器 Close-packed structure 密堆积结构 Close-loop gain 闭环增益 Collector 集电极 Collision 碰撞 Compensated OP-AMP 补偿运放 Common-base/collector/emitter connection 共基极/集电极/发射极连接 Common-ga

18、te/drain/source connection 共栅/漏/源连接 Common-mode gain 共模增益 Common-mode input 共模输入 Common-mode rejection ratio (CMRR) 共模抑制比 Compatibility 兼容性 Compensation 补偿 Compensated impurities 补偿杂质 Compensated semiconductor 补偿半导体 Complementary Darlington circuit 互补达林顿电路 Complementary Metal-Oxide-Semiconductor Fie

19、ld-Effect-Transistor(CMOS) 互补金属氧化物半导体场效应晶体管 Complementary error function 余误差函数 Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试 /制 造 Compound Semiconductor 化合物半导体 Conductance 电导 Conduction band (edge) 导带(底) Conduction level/state 导带态 Conductor 导体 Conductivity 电导率 Configuration 组态 C

20、onlomb 库仑 Conpled Configuration Devices 结构组态 Constants 物理常数 Constant energy surface 等能面 Constant-source diffusion恒定源扩散 Contact 接触 Contamination 治污 Continuity equation 连续性方程 Contact hole 接触孔 Contact potential 接触电势 Continuity condition 连续性条件 Contra doping 反掺杂 Controlled 受控的 Converter 转换器 Conveyer 传输器

21、Copper interconnection system 铜互连系统Couping 耦合 Covalent 共阶的 Crossover 跨交 Critical 临界的 Crossunder 穿交 Crucible坩埚 Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶 格 Current density 电流密度 Curvature 曲率 Cut off 截止 Current drift/dirve/sharing 电流漂移/驱动/共享 Current Sense 电流取样 Curvature 弯曲 Custom integrated c

22、ircuit 定制集成电路 Cylindrical 柱面的 Czochralshicrystal 直立单晶 Czochralski technique 切克劳斯基技术(Cz法直拉晶体J) Dangling bonds 悬挂键 Dark current 暗电流 Dead time 空载时间 Debye length 德拜长度 De.broglie 德布洛意 Decderate 减速 Decibel (dB) 分贝 Decode 译码 Deep acceptor level 深受主能级 Deep donor level 深施主能级 Deep impurity level 深度杂质能级 Deep t

23、rap 深陷阱 Defeat 缺陷 Degenerate semiconductor 简并半导体 Degeneracy 简并度 Degradation 退化 Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度 Delay 延迟 Density 密度 Density of states 态密度 Depletion 耗尽 Depletion approximation 耗尽近似 Depletion contact 耗尽接触 Depletion depth 耗尽深度 Depletion effect 耗尽效应 Depletion layer 耗尽层 Depletio

24、n MOS 耗尽MOS Depletion region 耗尽区 Deposited film 淀积薄膜 Deposition process 淀积工艺 Design rules 设计规则 Die 芯片(复数dice) Diode 二极管 Dielectric 介电的 Dielectric isolation 介质隔离 Difference-mode input 差模输入 Differential amplifier 差分放大器 Differential capacitance 微分电容 Diffused junction 扩散结 Diffusion 扩散 Diffusion coeffici

25、ent 扩散系数 Diffusion constant 扩散常数 Diffusivity 扩散率 Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉 Digital circuit 数字电路 Dipole domain 偶极畴 Dipole layer 偶极层 Direct-coupling 直接耦合 Direct-gap semiconductor 直接带隙半导体 Direct transition 直接跃迁 Discharge 放电 Discrete component 分立元件 Dissipation 耗散 Distrib

26、ution 分布 Distributed capacitance 分布电容 Distributed model 分布模型 Displacement 位移 Dislocation 位错 Domain 畴 Donor 施主 Donor exhaustion 施主耗尽 Dopant 掺杂剂 Doped semiconductor 掺杂半导体 Doping concentration 掺杂浓度 Double-diffusive MOS(DMOS)双扩散MOS. Drift 漂移 Drift field 漂移电场 Drift mobility 迁移率 Dry etching 干法腐蚀 Dry/wet o

27、xidation 干/湿法氧化 Dose 剂量 Duty cycle 工作周期 Dual-in-line package (DIP) 双列直插式封装 Dynamics 动态 Dynamic characteristics 动态属性 Dynamic impedance 动态阻抗 Early effect 厄利效应 Early failure 早期失效 Effective mass 有效质量 Einstein relation(ship) 爱因斯坦关系 Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器 Electro

28、de 电极 Electrominggratim 电迁移 Electron affinity 电子亲和势 Electronic -grade 电子能 Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光 Electron gas 电子气 Electron-grade water 电子级纯水 Electron trapping center 电子俘获中心 Electron Volt (eV) 电子伏 Electrostatic 静电的 Element 元素/元件/配件 Elemental semiconductor 元素半导体 Ellipse 椭圆 Ell

29、ipsoid 椭球 Emitter 发射极 Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对 Emitter follower 射随器 Empty band 空带 Emitter crowding effect 发射极集边(拥挤)效应 Endurance test =life test 寿命测试 Energy state 能态 Energy momentum diagram 能量-动量(E-K)图 Enhancement mode 增强型模式 Enhancement MOS 增强性MOS Entefic (低)共溶的 Enviro

30、nmental test 环境测试 Epitaxial 外延的 Epitaxial layer 外延层 Epitaxial slice 外延片 Expitaxy 外延 Equivalent curcuit 等效电路 Equilibrium majority /minority carriers 平衡多数/少数载流子 Erasable Programmable ROM (EPROM)可搽取(编程)存储器 Error function complement 余误差函数 Etch 刻蚀 Etchant 刻蚀剂 Etching mask 抗蚀剂掩模 Excess carrier 过剩载流子 Excit

31、ation energy 激发能 Excited state 激发态 Exciton 激子 Extrapolation 外推法 Extrinsic 非本征的 Extrinsic semiconductor 杂质半导体 Face - centered 面心立方 Fall time 下降时间 Fan-in 扇入 Fan-out 扇出 Fast recovery 快恢复 Fast surface states 快界面态 Feedback 反馈 Fermi level 费米能级 Fermi-Dirac Distribution 费米-狄拉克分布 Femi potential 费米势 Fick equation 菲克方程(扩散) Field effect transistor 场效应晶体管 Field oxide 场氧化层 Filled band 满带 Film 薄膜 Flash memory 闪烁存储

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1