ImageVerifierCode 换一换
格式:DOCX , 页数:26 ,大小:77.61KB ,
资源ID:7478710      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7478710.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电冰箱温度控制设计.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

电冰箱温度控制设计.docx

1、电冰箱温度控制设计重庆信息技术职业学院毕业设计 题目 电冰箱温度控制设计 选题性质:设计报告其他 院 系 电子工程 专 业 电子信息工程技术 班 级 2011级(2)班 学 号 1120090219 学生姓名 许晋杰 指导教师 唐玉萍 教务处制 2013年 6 月 10 日 2011 届 电子工程 学院毕业设计选题审批单年级 2011 专业 电子信息工程技术 班级 (2)班 学生姓名许晋杰 学 号1120090219 选题电冰箱的温度控制设计 选题性质设计报告其他选题论证:介绍了用AT89C51作为控制器核心,对电冰箱的工作过程进行控 制,使用者可根据四季变化的温度进行对电冰箱进行调整冰箱的温

2、度。满足客服的需求 指导教师初审意见:签 名:年 月 日毕业设计工作领导小组审批意见:签 名:年 月 日 2011 届 电子工程 学院毕业设计开题报告及进度要求年级 2011 班级 2 学生姓名许晋杰 学 号1120090219 指导教师唐玉萍 选题性质设计报告其他选题电冰箱的温度控制设计 选题的目的和意义:随着人们生活水平的提高,铺张浪费的情况逐渐上升,人们抱怨东西没地方放,时间久了就会坏掉。抱怨冰箱不能起到制冷、保鲜的作用。以下这种冰箱采用AT89c51单片机控制显示屏,人们可以根据四季变化看显示屏的温度显示进行温度设置。用AT89C51作为控制器核心,对电冰箱的工作过程进行控 制。控制原

3、理是根据蒸发器的温度控制制冷压缩机的启、停,使冰箱内的温度保持在设 定温度范围内。一般当蒸发器温度高至35 时启动压缩机制冷,当温度低于-10-20 时停止制冷,关断压缩机。采用单片机控制,可以使控制更准确、灵活。 选题研究的主要内容和技术方案:主要内容:使用AT89c51控制LED的数字显示屏。由于多数冰箱大多数人们根本不知道冰箱中的实际温度。已导致食物常常坏掉。一旦把冰箱的温度使用led显示屏显现出来,人们即可根据四季的需要,调节按钮,改变冰箱的温度。技术方案:采用空调调节温度的方法,综合冰箱制冷的效果进行综合。制造独特的冰箱。毕业设计工作时间 年 月 日 至 年 月 日毕业设计工作日程安

4、排时间段工作内容9月1日-9月8日选题、开题、制定任务、开题11月26日完成毕业设计指导教师意见: 成果要求: 签字: 年 月 日 摘要题目 作者 (重庆信息技术职业学院电子工程学院 重庆万州 404000)摘要:近年来随着计算机在社会领域的渗透, 单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。关键词:单片机;温度传感器;电冰箱;温度控制 正 文电冰箱温度测控系统设计(A)第一章 概述随着集成电路技术的发展

5、,单片微型计算机的功能也不断增强,许多高性能的新型机种不断涌现出来。单片机以其功能强、体积小、可靠性高、造价低和开发周期短等优点,称为自动化和各个测控领域中广泛应用的器件,在工业生产中称为必不可少的器件,尤其在日常生活中发挥的作用也越来越大。人们对家用电冰箱的控制功能越来越高,这对电冰箱控制器提出了更高的要求。多功能,智能化是其发展方向之一,传统的机器控制,简单的电子控制已经难以满足发展的要求。而采用基于单片机温度控制系统,不仅可大大缩短设计新产品的时间,同时只要增加少许外围器件在软件设计方面就能实现功能的扩展,以及智能化方面的提高,因此可最大限度地节约成本。本文即为基于单片机的电冰箱温度控制

6、系统。 目前市场销售的双门直冷式电冰箱,含有冷冻室和冷藏室,冷冻室通常用于冷冻的温度为-6-18;冷藏室用于在相对冷冻室较高的温度下存放食品,要求有一定的保鲜作用,不能冻伤食品,室温一般为010.传统的电冰箱温度一般是由冷藏室控制,冷藏室、冷冻室的不同温度是通过调节蒸发器在两室的面积大小来实现的,温度调节完全依靠压缩机的开停来控制.但是冰箱内的温度受诸多因素的影响,如放入冰箱物品初始温度的高低、存放品的散热特性及热容量、物品在冰箱的充满率、环境温度的高低、开门的频繁程度等.因此对这种受控参数及随机因素很多的温度控制,既难以建立一个标准的数学模型,也无法用传统的PID调节来实现.一台品质优良的电

7、冰箱应该具有较高的温度控制精度,同时又有最优的节能效果,而为了达到这一设计要求采用模糊控制技术无疑是最佳的选择. 一电冰箱的系统组成 液体由液态变为气态时,会吸收很多热量,简称为“液体汽化吸热”,电冰箱就是利用了液体汽化的过程中需要吸热的原理来制冷的。 蒸气压缩式电冰箱制冷系统原理图如图1-1所示,主要由压缩机、冷凝器、干燥过滤器、毛细管、蒸发器等部件组成,其动力均来自压缩机,干燥过滤器用来过滤赃物和干燥水分,毛细管用来节流降压,热交换器为冷凝器和蒸发器。制冷压缩机吸入来自蒸发器的低温低压的气体制冷剂,经压缩后成为高温高压的过热蒸气,排入冷凝器中,向周围的空气散热成为高压过冷液体,高压过冷液体

8、经干燥过滤器流入毛细管节流降压,成为低温低压液体状态,进入蒸发器中汽化,吸收周围被冷却物品的热量,使温度降低到所需值,汽化后的气体制冷剂又被压缩机吸入,至此,完成一个循环。压缩机冷循环周而复始的运行,保证了制冷过程的连续性。 直冷式电冰箱的控制原理是根据蒸发器的温度控制制冷压缩机的启、停,使冰箱内的温度保持在设定温度范围内。冷冻室用于冷冻食品通常用于冷冻的温度为3C15C,冷藏室用于相对于冷冻室较高的温度下存放食品,要求有一定的保鲜作用,不能冻伤食品,温度一般为0C10C,当测得冷冷冻室温度高至3C 0C时或者是冷冻室温度高至10C13C是启动压缩机制冷,当冷冻室温度低于15C18C或都冷藏室

9、温度低于0C3C时停止制冷,关断压缩机。采用单片机控制,可以使控制更为准确、灵活。 二工作原理:根据冷藏室和冷冻室的温度情况决定是否开压缩机,若冷藏室的温度过高,则打开电磁冷门V1,关闭阀门V2,V3,同时打开压缩机,产生高温高压过热蒸气,经过冷凝器冷凝,干燥过滤器干燥,毛细节流管降压后,在蒸发器汽化制冷,产生低温低压的干燥气体。经过电磁阀门V1 流入冷藏室,使冷藏的温度迅速降低,当温度达到要求时关闭压缩机,同时关闭电磁阀门V1 。若是冷冻室的温度过高,则应打开V2关闭V1, V3 。电磁阀门V3主要用于冷冻室的化霜。需要化箱时打开V3,从压缩机流出的高温高压气体流经冷冻室可匀速将冷冻室霜层汽

10、化。达到化霜的效果。一般化霜的时间要短,不然会伤存放的食品。 三本系统采用单片机控制的电冰箱主要功能及要求: 1、 设定2个测温点,测量范围:26C26C,精度0.5C; 2、 利用功能键分别控制温度设定、冷藏室及冷冻室温度设定等; 3、 制冷压缩机停机后自动延时3分钟后方能再启动; 4、 电冰箱具有自动除霜功能; 5、 开门延时超过20秒发声报警; 6、工作电压为180240V,当欠压或过压时,禁止启动压缩机并用指示灯显示。 第二章 硬件部分一系统结构图 二微处理器(单片机) 微处理器是本系统的核心,其性能的好坏直接影响系统的稳定,鉴于本系统为实时控制系统,系统运行时需要进行大量的运算,所以

11、单片机采用INTEL公司的高效微控制器MSC-C51。 MSC-C51单片机性能介绍: 51系列单片微机封装形式为双排直列式结构(DIP),引脚共40个。如图2-2所示。MCS51单片机的典型芯片是8051,其内部基本组成为:一个8位的中央处理器(CPU),256byte片内RAM单元,4Kbyte掩膜式ROM,2个16位的定时器计数器,四个8位的并行IO口(P0,P1,P2,P3),一个全双工串行口5个中断源,一个片内振荡器和时钟发生电路。这种结构特点决定了单片机具有体积小、成本低、可靠性高、应用灵活、开发效率高、易于被产品化等优点,使其具有很强的面向控制的能力,在工业自动化控制、家用电器、

12、智能化仪表、机器人、军事装置等领域获得了广泛的应用。1主要特性: 4K字节可编程闪烁存储器 全静态工作:0Hz-24Hz 三级程序存储器锁定 128*8位内部RAM 32可编程I/O线 两个16位定时器/计数器 5个中断源 可编程串行通道 低功耗的闲置和掉电模式 片内振荡器和时钟电路 2管脚说明: VCC:供电电压。 GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码

13、,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的

14、高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。 P3口也可作为8051的一些特殊功能口,如下所示: 口管脚 备选功能 P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) P3.2 /INT0(外部中断0) P3.3 /INT1(外部中

15、断1) P3.4 T0(记时器0外部输入) P3.5 T1(记时器1外部输入) P3.6 /WR(外部数据存储器写选通) P3.7 /RD(外部数据存储器读选通) P3口同时为闪烁编程和编程校验接收一些控制信号。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个AL

16、E脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。 /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。 /EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施

17、加12V编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 3振荡器特性: XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTAL2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。 4芯片擦除: 整个PEROM阵列和三个锁定位的电擦除可通过正确的控制信号组合,并保持ALE管脚处于低电平10ms 来完成。在芯片擦操作中,代码阵列全被写“1”且在任何非空存储字节被

18、重复编程以前,该操作必须被执行。 此外,AT89C51设有稳态逻辑,可以在低到零频率的条件下静态逻辑,支持两种软件可选的掉电模式。在闲置模式下,CPU停止工作。但RAM,定时器,计数器,串口和中断系统仍在工作。在掉电模式下,保存RAM的内容并且冻结振荡器,禁止所用其他芯片功能,直到下一个硬件复位为止。 5运算器 (1)算术逻辑部件ALU:用以完成+、-、*、/ 的算术运算及布尔代数的逻辑运算,并通过运算结果影响程序状态寄存器PSW的某些位,从而为判断、转移、十进制修正和出错等提供依据。(2)累加器A:在算术逻辑运算中存放一个操作数或结果,在与外部存储器和I/O接口打交道时,进行数据传送都要经过

19、A来完成。(3)寄存器B:在 *、/ 运算中要使用寄存器B 。乘法时,B用来存放乘数以及积的高字节;除法时,B用来存放除数及余数。不作乘除时,B可作通用寄存器使用。(4)程序状态标志寄存器PSW:用来存放当前指令执行后操作结果的某些特征,以便为下一条指令的执行提供依据。 6.中断系统: 8051单片机的中断系统简单实用,其基本特点是:有5个固定的可屏蔽中断源,3个在片内,2个在片外,它们在程序存储器中各有固定的中断入口地址,由此进入中断服务程序;5个中断源有两级中断优先级,可形成中断嵌套;2个特殊功能寄存器用于中断控制和条件设置的编程。5个中断源的符号、名称及产生的条件如下:INT0:外部中断

20、0,由P32端口线引入,低电平或下跳沿引起。INT1:外部中断1,由P33端口线引入,低电平或下跳沿引起。T0:定时器计数器0中断,由T0计满回零引起。T1:定时器计数器l中断,由T1计满回零引起。TIRI:串行IO中断,串行端口完成一帧字符发送接收后引起。 三温度传感器 温度传感器是本系统不可或缺的元件,其性能的好坏直接影响系统的性能,因此温度传感器采用DALLAS公司生产的高性能数字温度传感器DS18B20 。 数字温度传感器DS18B20的原理与应用 DS18B20是DALLAS公司生产的一线式数字温度传感器,具有3引脚TO92小体积封装形式;温度测量范围为55125,可编程为9位12位

21、A/D转换精度,测温分辨率可达0.0625,被测温度用符号扩展的16位数字量方式串行输出;其工作电源既可在远端引入,也可采用寄生电源方式产生;多个DS18B20可以并联到3根或2根线上,CPU只需一根端口线就能与诸多DS18B20通信,占用微处理器的端口较少,可节省大量的引线和逻辑电路。以上特点使DS18B20非常适用于远距离多点温度检测系统。 2DS18B20的内部结构 DS18B20内部结构如图2-3所示,主要由4部分组成:64位ROM、温度传感器、非挥发的温度报警触发器TH和TL、配置寄存器。DS18B20的管脚排列如图2-4所示: DQ: 为数字信号输入输出端; GND:为电源地; V

22、DD:为外接供电电源输入端(在寄生电源接线方式时接地,见图2-4)。 ROM中的64位序列号是出厂前被光刻好的,它可以看作是该DS18B20的地址序列码,每个DS18B20的64位序列号均不相同。64位ROM的排的循环冗余校验码(CRC=X8X5X41)。ROM的作用是使每一个DS18B20都各不相同,这样就可以实现一根总线上挂接多个DS18B20的目的。 温度传感器 高温触发器TH 低温触发器TL 配置寄存器 存储和控制逻辑 8位CRC生成器 供电方式选择 DS18B20 用12 位存贮温度值,最高位为符号位. 图1为18B20 的温度存储方式,负温度S = 1 ,正温度S = 01 如:0

23、550H 为+ 85 ,0191H 为25. 0625 ,FC90H 为- 55 . 23 22 21 20 21 22 23 24 温度值低字节 LSB S S S S S 26 25 24 温度值高字节 MSB 高低温报警触发器TH和TL、配置寄存器均由一个字节的EEPROM组成,使用一个存储器功能命令可对TH、TL或配置寄存器写入。其中配置寄存器的格式如下: 0 R1 R0 1 1 1 1 1 R1、R0决定温度转换的精度位数:R1R0=00,9位精度,最大转换时间为93.75ms,R1R0=01,10位精度,最大转换时间为187.5ms,R1R0=10,11位精度,最大转换时间为375

24、ms,R1R0=11,12位精度,最大转换时间为750ms;未编程时默认为12位精度。 高速暂存器是一个9字节的存储器。开始两个字节包含被测温度的数字量信息;第3、4、5字节分别是TH、TL、配置寄存器的临时拷贝,每一次上电复位时被刷新;第6、7、8字节未用,表现为全逻辑1;第9字节读出的是前面所有8个字节的CRC码,可用来保证通信正确。3DS18B20的工作时序:DS18B20的一线工作协议流程是:初始化ROM操作指令存储器操作指令数据传输。 4DS18B20与单片机的典型接口设计:图2-5以MCS51系列单片机为例,画出了DS18B20与微处理器的典型连接。图2-5(a)中DS18B20采

25、用寄生电源方式,其VDD和GND端均接地,图2-5(b)中DS18B20采用外接电源方式,其VDD端用3V5.5V电源供电。假设单片机系统所用的晶振频率为12MHz,根据DS18B20的初始化时序、写时序和读时序,分别编写了3个子程序:INIT为初始化子程序,WRITE为写(命令或数据)子程序,READ为读数据子程序,所有的数据读写均由最低位开始。DAT EQU P1.0 INIT::CLR EA INI10:SETB DATMOV R2,200 INI11:CLR DATDJNZ R2, INI11 ; 主机发复位脉冲持续3s200=600sSETB DAT ; 主机释放总线,口线改为输入M

26、OV R2, 30INI12:DJNZ R2, INI12 ; DS18B20等待2s30=60sCLR CORL C, DAT ; DS18B20数据线变低(存在脉冲)吗?JC INI10 ; DS18B20未准备好,重新初始化MOV R6,80INI13:ORL C, DATJC INI14 ; DS18B20数据线变高,初始化成功DJNZ R6, INI13 ; 数据线低电平可持续3s80=240sSJMP INI10 ; 初始化失败, 重来INI14::MOV R2, 240INI15::DJNZ R2, INI15 ; DS18B20应答最少2s240=480sRET; WRITE:

27、:CLR EAMOV R3,8 ; 循环8次,写一个字节WR11:SETB DATMOV R4, 8RRC A ; 写入位从A中移到CYCLR DATWR12:DJNZ R4, WR12 ;等待16sMOV DAT, C ; 命令字按位依次送给DS18B20MOV R4, 20WR13:DJNZ R4, WR13 ;保证写过程持续60sDJNZ R3, WR11 ;未送完一个字节继续SETB DATRET;READ:CLR EAMOV R6, 8 ;循环8次,读一个字节RD11:CLR DATMOV R4, 4NOP ;低电平持续2sSETB DAT ;口线设为输入RD12:DJNZ R4,

28、RD12 ;等待8sMOV C, DAT ;主机按位依次读入DS18B20的数据RRC A ;读取的数据移入AMOV R5, 30RD13:DJNZ R5, RD13 ;保证读过程持续60sDJNZ R6, RD11 ;读完一个字节的数据,存入A中SETB DATRET;主机控制DS18B20完成温度转换必须经过三个步骤:初始化、ROM操作指令、存储器操作指令。必须先启动DS18B20开始转换,再读出温度转换值。假设一线仅挂接一个芯片,使用默认的12位转换精度,外接供电电源,可写出完成一次转换并读取温度值子程序GETWD。GETWD:LCALL INITMOV A, 0CCHLCALL WRI

29、TE ;发跳过ROM命令MOV A, 44HLCALL WRITE ;发启动转换命令LCALL INITMOV A, 0CCH ;发跳过ROM命令LCALL WRITEMOV A, 0BEH ;发读存储器命令LCALL WRITELCALL READMOV WDLSB, A ;温度值低位字节送WDLSBLCALL READMOV WDMSB, A ;温度值高位字节送WDMSBRET子程序GETWD读取的温度值高位字节送WDMSB单元,低位字节送WDLSB单元,再按照温度值字节的表示格式及其符号位,经过简单的变换即可得到实际温度值。 四电压检测装置 电压检测装置是为了保护系统的稳定运行,采用WB

30、系列电压越限报警传感器WB系列电压越限报警传感器以电压隔离传感器为基础,增配比较器电路、基准电压设定电路、输出驱动电路组成,用来隔离监测主回路中的交流或直流电压,当被监测的电压超过预先设定的上限值,或低于预先设定的下限值时,给出开关量控制信号。 本系列产品测控一体化、体积小、精度高、使用方便,报警界限值可以由用户根据需要随时进行调整,具有很高的性能/价格比。 主要特点: 1.测控一体化,体积小、精度高、反应快; 2.具有瞬态干扰抑制功能,防止误动作; 3.报警界限值可在设定值(20%)内连续可调; 4.密封式继电器触点输出,触点寿命30万次; 5.隔离电压:交流监测2.5kV DC,1分钟;直流监测1.5kV DC,1分钟; 6

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1