ImageVerifierCode 换一换
格式:DOCX , 页数:17 ,大小:872.76KB ,
资源ID:7465674      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7465674.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(全国大学生电子设计大赛F题数字频率设计报告.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

全国大学生电子设计大赛F题数字频率设计报告.docx

1、全国大学生电子设计大赛F题数字频率设计报告2015年全国大学生电子设计竞赛数字频率计(F 题)【本科组】2015年8月15日摘要 频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,频率测量在科技研究和实际应用中的作用日益重要。该系统由信号输入电路、数据处理电路和显示电路构成,可实现数字频率计的测频率、周期、占空比、脉宽等各项功能。以FPGA为核心处理数据最更大程度地提高了精度。经过综合测评,发现该系统具有高分辨率、输入频率量程宽、测量精度高和输出稳定等特点。关键词: FPGA 频率计 高精度 等精度 高带宽 AbstractFrequency me

2、ter is a typical application of digital circuit, computer, communications equipment, audio, video, and other areas of the scientific research production indispensable measuring instrument, the role of frequency measurement in science and technology research and practical application is increasingly

3、system consists of signal input circuit, data processing circuit and display circuit, which can realize the digital frequency meter measuring frequency, cycle, pulse rate, pulse width and so on various FPGA as the core processing improves the accuracy of data is the the comprehensive evaluation, fou

4、nd that the system has high resolution, wide input frequency range, high measurement accuracy and stable output.Keywords: FPGA、Frequency meter、High precision、equal precision、High bandwidth第一章 设计任务与要求 设计任务 设计并制作一台闸门时间为1s的数字频率计。 设计要求 基本要求 (1) 频率和周期测量功能 a被测信号为正弦波,频率范围为1Hz10MHz; b被测信号有效值电压范围为50mV1V; c测量

5、相对误差的绝对值不大于10-4。(2) 时间间隔测量功能 a被测信号为方波,频率范围为100Hz1MHz; b被测信号峰峰值电压范围为50mV1V; c被测时间间隔的范围为s100ms; d测量相对误差的绝对值不大于10-2。(3) 测量数据刷新时间不大于2s,测量结果稳定,并能自动显示单位。 发挥部分(1) 频率和周期测量的正弦信号频率范围为1Hz100MHz,其他要求同基本要求(1)和(3)。 (2) 频率和周期测量时被测正弦信号的最小有效值电压为10mV,其他要求同基本要求(1)和(3)。 (3) 增加脉冲信号占空比的测量功能,要求: a被测信号为矩形波,频率范围为1Hz5MHz; b被

6、测信号峰峰值电压范围为50mV1V; c被测脉冲信号占空比的范围为10%90%; d显示的分辨率为%,测量相对误差的绝对值不大于10-2。(4) 其他(例如,进一步降低被测信号电压的幅度等)。第二章 方案讨论与选择方案设计方案一本方案以单片机为核心,实现波形数据的分析与显示。先将被测信号进行整形放大,把被测的正弦波整形为矩形波。然后经过分频电路之后,再利用单片机的计数器和定时器的功能对被测信号进行计数。编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。该方案虽然程序编写较为简单但是整体上模块渐多。流程框图如下。 ? 方案二采用基于FPGA的SOPC(可编辑片上系

7、统)技术,实现波形数据的分析与显示。在前置放大整形模块对信号进行放大整形之后输入到FPGA主控板之中,由FPGA主控板实现数据处理和数据输出的功能。稳压电源模块为两个放大整形模块和FPGA主控板供电。基于SOPC的特点,这种方法除了放大整形模块外,可以把其余部全部集合在一片FPGA主控板上,使整体的体积大大减少的同时还提高了稳定性,测频精度高,测频范围大,调试方便。流程框图如下。 方案选择经过综合考虑,方案二相对于方案一来说,程序编写灵活度高,整体结构简洁,相对容易达到设计要求,且精度高,调试方便,所以我们选择了方案二。第三章 理论分析与计算 总体分析数字频率计由以下几个模块构成:(1)输入模

8、块:对输入信号的波形进行整形放大,以适合于计数器的工作。(2)计数器:累计输入脉冲的个数,并将结果用十进制数字显示。(3)时间基准:对晶体振荡器产生的标准频率经过分频和倍频,产生闸门时间和标准信号。(4)锁存器:锁存信号以便做后续操作。(5)处理与分析模块:对整形之后的数据进行控制和分析。(6)显示模块:输出显示被测信号的数据。各项被测参数 等精度测量的原理:等精度测量的一个最大的特点是测量的实际门控时间不是一个固定值,而是一个与被测信号有关的值,且是被测信号的整数倍,即与被测信号同步。因此,避免了对被测信号计数所产生1个字误差,并且达到了在整个测试频段的等精度测量。在计数允许的时间内,同时对

9、标准信号和被测信号进行技术,再通过数学公式推导出被测信号的频率。 等精度测量的实现我们以被测信号的上升沿作为开启闸门和关闭闸门的驱动信号,只有在被测信号的上升沿才将预置闸门的状态锁存,因此在实际闸门Tx内被测信号的个数就能保证整数个周期,这样就避免被测信号的1的误差,但会产生高频的标准频率信号的1周期误差,由于标准频率f0的频率远高于被测信号,因此它产生的1周期误差对测量精度的影响有限,可以大大提高测量精度。预置闸门信号是由FPGA的定时模块产生,这里选择预置闸门信号的时间长度为1s。测量时,由FPGA的定时模块产生预置闸门信号,启动FPGA内的2个计数器,分别对被测信号和基准信号计数。首先给

10、出闸门开启信号(预置闸门上升沿)?,此时计数器并不会马上开始计数,?而是等到被测信号的上升沿到来时,?计数器才真正开始计数。然后预置闸门关闭信号(下降沿)?到来时,计数器并不立即停止计数,而是等到被测信号的上升沿到来时才结束计数,完成1?次测量过程。? (1)频率的计算:若在一次实际闸门时间Tx中,标准信号与被测信号的脉冲个数分别记为N0和Nx,则其中f0为标准信号的频率。(2)周期的计算:用1/T代替上式中的f即可得到周期计算公式(T0是标准频率的周期):(3)占空比的计算: 因为占空比即被测信号正脉冲的持续时间T1与脉冲总周期TX的比值,所以可以设一个周期内的正脉冲的时间为T1,则我们所求

11、的占空比计算公式为: 。(4)时间间隔测量:当第一个脉冲上升沿到来时开始计数,第二个上升沿到来时计数停止,时间差为t,间隔时间为t/T0 等精度数字频率计误差分析 若被测频率为fx,设其真实值为ft,在一次测量中,计数的起停是由被测频率的上升沿决定的,因此在T时间内对被测信号的脉冲个数Nx的计数是无误差的,而在此时间内对标准信号脉冲个数N0的计数与Nx的值最多相差一个脉冲,即N=1,则可得到:。又因为,所以可得:。又因为N=1,所以,而。因此可得出结论就是标准频率越大,误差越小。 宽带通道放大器分析 题目要求所需的宽带为1Hz100MHz,因此我们选用增益带宽积较大的三极管对输入信号的电压进行

12、放大,同时为了减少对上一级电路的影响,尽量增大输入阻抗。 提高仪器灵敏度的措施 (1)输入电路的输出采用高速PNP开关管-2N5771,其可以输出最小周期为15ns的脉冲。(2)采用了ALTERA公司CYCLONE 系列的FPGA,并行执行程序,且具有90ns的读写速度,保证了数据的及时处理与反馈。(3)FPGA的程序采用速度优化,最大程度减少了运算时间。第四章 硬件电路与程序设计 硬件电路 系统硬件结构图如下:前置信号输入电路 高低频切换 该电路的功能通过继电器来实现,100KHZ作为临界值,当输入频率小于100KHZ时,继电器不工作,否则工作,可以提高高频率的精度值,如图1。 带通限制与保

13、护电路 该电路可将频率带通限制在1HZ-100MHZ之间。同时增加了幅度保护电路,当三极管基级电压大时,三极管导通接地,保护电路,如图2 。 放大电路 将电压信号放大,通过滑动变阻器还可以选择最佳线性放大工作点,获得最大的频率宽度,如图3。 波形转换电路 通过MC10H116FNG将上级输入的压差逐步放大到约来控制输出电路的三极管导通与截止,如图4。 输出电路 通过的压降差控制两个三极管的通断输出高低电平,将ECL电平转换为TTL电平,供FPGA处理数据时识别。 主控FPGA 主控FPGA 主芯片采用ALTERA公司CYCLONE 系列的EP4CE6F17C8N。采用并行FLASH芯片AM29

14、LV320B容量4M BYTE 90NS 读写速度;采用128MBIT高速SDRAM,K4S281632K大储存容量;采用大容量配置芯片EPCS16;系统时钟为50M。显示模块 用TTL液晶显示,可以清晰显示所测数据。电源模块选用一般的稳压电路方案,采用LM7805将输入电压转化为相对稳定的5V的电压。经过测试发现纹波较小,符合我们设计的要求。 程序设计 FPGA处理数据程序框图第五章 测试方案与结果 测试方案与测试结果 测试方案测试仪器:信号发生器:安捷伦 33522、AFG3101示波器:安捷伦DSO-X-2022A万用表:安捷伦34401A 测试结果频率测试数据:正弦波输入频率通道A测试

15、结果通道B测试结果输入频率通道A测试结果通道B测试结果1Hz5Hz100Hz555Hz1Khz10khz100Khz687KHz5mhz10mhz15mhz25mhz55mhz65mhz88mhz95mhz100mhz110mhz周期测试数据:正弦波输入频率通道A测试结果通道B测试结果输入频率通道A测试结果通道B测试结果1Hz5Hz100Hz555Hz1Khz10khz10khz10khz100Khz687KHz5mhz10mhz15mhz25mhz40mhz55mhz65mhz88mhz95mhz100mhz110mhz有效值测试数据: 输入电压通道A测试结果通道B测试结果输入电压通道A测试

16、结果通道B测试结果45mV50mV80mv100mv200mv330mv525mv760mv800mv900mv1v峰-峰值测试数据: 输入电压通道A测试结果通道B测试结果输入电压通道A测试结果通道B测试结果45mV45mV45mV50mV50mV50mV80mv80mv80mv100mv100mv100mv200mv200mv200mv330mv330mv330mv525mv525mv525mv760mv760mv760mv800mv800mv800mv900mv900mv900mv1v1v1v时间间隔测试:矩形波占空比测试结果:输入频率通道A测试结果通道B测试结果输入频率通道A测试结果通道

17、B测试结果1Hz5Hz100Hz555Hz1Khz10khz100Khz687KHz5mhz10mhz占空比范围测试结果:占空比通道A测试结果通道B测试结果输入频率通道A测试结果通道B测试结果10%1Hz15%100Hz36%1Khz50%80KHz70%687KHz86%5mhz测试结果分析经过综合测试,设计的频率计体积小,功耗低,稍加修改就可以改变数字频率计测量范围,拥有较高的整体性能和可靠性。可以实现各基本功能,有高分辨率、输入频率量程宽、测量精度高和输出稳定等特点。参考文献1、康华光.电子技术基础(模拟部分)M.武汉:高等教育出版社.2、韩冰.FPGA设计技巧与案例开发详解M.北京:电子工业出版社.3、清源科技.Protel99se电路原理图与PCB设计及仿真M.北京:机械工业出版社.4、阎石.数字电子技术基础(第五版)M.北京:高等教育出版社.5、李云鹏,王思明.基于FPGA的等精度频率计设计J.电子元件应用.6、杨守良.基于FPGA的数字频率计的设计和实现J.现代电子技术.7、周小仨.基于EDA技术的频率计系统研究与设计J.中外企业文化.8、陈云路,吴钦木.数字频率计设计J.现代机械.附 录1、核心器件C1907、SST5485、BF970、MC10H116FNG、2N57712、输入电路图3、FPGA顶层设计图4、实物图展示

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1