ImageVerifierCode 换一换
格式:DOCX , 页数:22 ,大小:674.91KB ,
资源ID:7437585      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7437585.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(位同步信号提取电路功能模块的设计与建模大学论文.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

位同步信号提取电路功能模块的设计与建模大学论文.docx

1、位同步信号提取电路功能模块的设计与建模大学论文学 号: 课 程 设 计题 目位同步信号提取电路功能模块的设计与建模 学 院信息工程学院专 业班 级 姓 名指导教师 2015年 12 月 31 日课程设计任务书学生姓名: 专业班级: 指导教师: 工作单位: 信息工程学院 题 目: 位同步信号提取电路功能模块的设计与建模 初始条件:(1)MAX PLUSII、Quartus II、ISE等软件;(2)课程设计辅导书:通信原理课程设计指导(3)先修课程:数字电子技术、模拟电子技术、电子设计EDA、通信原理。要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)(1)课程设

2、计时间:一周;(2)课程设计题目:位同步信号提取电路功能模块的设计与建模;(3)本课程设计统一技术要求:按照要求题目进行逻辑分析,掌握锁相法,画出实现电路原理图,设计出各模块逻辑功能,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析; (4)课程设计说明书按学校“课程设计工作规范”中的“统一书写格式”撰写,并标明参考文献至少5篇;(5)写出本次课程设计的心得体会(至少500字)。时间安排:第17周参考文献:段吉海.数字通信系统建模与设计.北京:电子工业出版社,2004 江国强.EDA技术与应用. 北京:电子工业出版社,2010 John G. Proakis.Digi

3、tal Communications. 北京:电子工业出版社,2011指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日目录 摘 要 1Abstract 2 1 Quartus II软件介绍 32 设计原理 4 2.1位同步原理 42.2 数字锁相环的原理与方框图 53 设计思路 64电路仿真 84.1 码型变换模块 84.2 鉴相模块 104.3 控制调节模块 115总电路图与运行结果 12 5.1总电路图 125.2 仿真总结 136心得体会 16参考文献 17附录 18分频器VHDL语言程序 18移位寄存器VHDL语言程序 19本科生课程设计成绩评定表 24摘要 同步是通信

4、系统中一个非常重要的实际问题。在同步通信系统中,同步系统性能的降低会导致通信系统性能的降低,甚至使通信系统不能正常工作,故位同步提取是一个十分重要的课题,实现位同步的方法主要有外同步法和自同步法两种。目前,在数字通信系统中,常采用数字锁相法来提取位同步信号。位同步锁相法的基本原理是在接收端利用鉴相器比较接收码元和本地时钟产生的位同步信号的相位,若两者不一致(超前或滞后) ,鉴相器就产生误差信号,并通过控制器调整位同步信号的相位,直至获得准确的位同步信号为止。在本次课程设计中,我们根据锁相环位同步提取技术的原理,并用VHDL 语言编程实现,在Quartus II下编译仿真通过,给出仿真波形图。经

5、分析该设计稳定可靠,且能够完成要求。关键词:位同步;数字锁相环; QuartusIIAbstractSynchronization is a very important practical problem in the communication system. In the communication system ,synchronous system functions lower will cause communication system function to lower ,even making the communication system cant work norma

6、lly. Therefore ,a bit synchronous extraction is a very important topic,To achieve a synchronized method mainly has two kinds of external synchronization method and the synchronization method.At present, digital phase locking method is often used to extract a sync signal in the digital communication

7、system.A synchronous phase lock method is the basic principle of using phase discriminator is at the receiving end receives the code and the local clock of a sync signal phase, if both inconsistent (advance or delay), phase discriminator is error signal, and adjusted by the controller a sync signal

8、phase, until obtain accurate a sync signal.In the curriculum design, we used the way of getting technology of Bit synchronization signal ,which programmed with VHDL ,and compiled and simulated with QuartusII, then gives the chart of wave simulation. The design is well with reliability ,and can compl

9、ete the request through the analysis.Key words: Bit synchronization ;DPL ; QuartusII1 Quartus II软件介绍QuartusII即可编程逻辑器件开发软件,是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用T

10、cl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是

11、一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用

12、的接口,越来越受到数字系统设计者的欢迎。 2 设计原理 2.1位同步原理数据通信双方的计算机在时钟频率上存在差异,而这种差异将导致不同的计算机的时钟周期的微小误差。尽管这种差异是微小的,但在大量的数据传输过程中,这种微小误差的积累足以造成传输的错误。因此,在数据通信中,首先要解决的是收发双方计算机的时钟频率的一致性问题。一般方法是,要求接收端根据发送端发送数据的起止时间和时钟频率,来校正自己的时间基准和时钟频率,这个过程叫位同步。可见,位同步的目的是使接收端接收的每一位信息都与发送端保持同步。目前实现位同步的方法主要有外同步法和自同步法两种。一般而言,自同步法应用较多。外同步法需要另外专门传输

13、位同步信息。自同步法则是从信号码元中提取其包含的位同步信息。自同步法又可以分为两种,即开环同步法和闭环同步法。开环法采用对输入码元做某种变换的方法提取位同步信息。闭环法则用比较本地时钟和输入信号的方法,将本地时钟锁定在输入信号上。闭环法更为准确,但是也更为复杂。位同步不准确将引起误码率增大。 2.2 数字锁相环的原理与方框图 位同步锁相法的基本原理是在接收端利用鉴相器比较接收码元和本地时钟产生的位同步信号的相位,若两者不一致(超前或滞后) ,鉴相器就产生误差信号,并通过控制器调整位同步信号的相位,直至获得准确的位同步信号为止。图2.1 数字锁相法位同步提取原理框图在图2.2 中可清楚地理解添扣

14、脉冲的原理。 图2.2 添扣脉冲原理框图 3 设计思路 图3.1 思路流程图本次课设对位同步信号采用数字锁相环法提取,数字锁相环的主要特点是鉴相信号为数字信号,鉴相输出也是数字信号,即环路误差电压是量化的,没有模拟环路滤波器。由于数字锁相环的输入是经过微分和全波整流后的信号,故这种数字锁相环也称为微分整流型数字锁相环,其原理框图如上图所示。数字锁相器由本地晶振、分频器、相位比较器和调节控制器所组成。其中调节控制器包括扣除脉冲和附加脉冲电路。晶振经过整形后变为周期性脉冲,然后再送入分频器,输出位同步脉冲序列。若接收码元的速率为F(波特) ,则要求位同步脉冲的重复速率也为F( Hz) 。这里,晶振

15、的的频率设计为nF( Hz) ,整形后输出的频率为nF( Hz) 的窄脉冲,经n 次分频后就可以得到重复频率为F( Hz) 的位同步信号。如果接收端晶振输出经过n 次分频后,不能准确地和码元同频同相就需要进行调整。调整的原理是根据相位比较器输出的误差信号,通过控制器进行调整。各部分的作用如下: 码型变换器完成解调出的基带NRZ码到RZ码的变换,使鉴相输入信号X含有位同步离散谱分量。 鉴相器用于检测信号X与输出位同步信号(分频输出D)相位间的超前、滞后关系,并以量化形式提供表示实时相位误差的超前脉冲F和滞后脉冲G,供控制调节器使用。当分频输出位同步信号D相位超前与信号X时,鉴相器输出超前脉冲F(

16、低电平有效);反之,则输出滞后脉冲G(高电平有效),二者均为窄脉冲。控制调节器的作用是根据鉴相器输出的误差指示脉冲,在信号D与信号X没有达到同频与同相时调节信号D的相位。高稳定晶振源输出180相位差、重复频率为nf0的A、B两路窄脉冲序列作为控制调节器的输入,经n分频后输出重复频率为f0的被调位同步信号D,它与信号X在鉴相器中比相。因超前脉冲F低电平有效并作用于扣除门(与门),平时扣除门总是让脉冲序列A通过,故扣除门为常开门,又因滞后脉冲G高电平有效并作用于附加门(与门),平时附加门总是对序列B关闭的,故附加门为常闭门。当信号D的相位超前与信号X的相位时,鉴相器输出窄的低电平超前脉冲F,扣除门

17、(与门)将从脉冲序列A中扣除一个窄脉冲,则n分频器输出信号D的相位就推迟了Ts/n(相移360/n),信号D的瞬时频率也被调低;当信号D的相位滞后于信号X的相位时,鉴相器输出窄的高电平滞后脉冲G,附加门(与门)此时打开让脉冲序列B(与脉冲序列A保持180固定相差)中的一个脉冲通过,经或门插进来自扣除门输出的脉冲序列A中,则分频器输入多插入的这个脉冲使n分频器输出信号的D相位提前了Ts/n(相移360/n),信号D的瞬时频率则被提高。由此可见,环路对信号D相位和频率的控制调节是通过对n分频器输入脉冲序列步进式加、减脉冲实现的,经环路的这种反复调节,最终可达到相位锁定,从而提取出位同步信号。4电路

18、仿真 4.1 码型变换模块码型变换的主要模块为微分器微分器的建模符号如图4.1所示,图中a为码元输入;clk为时钟输入;out2为微分后的码元输出。 图4.1 微分器建模符号微分器的顶层电路如图5所示。整个微分器由异或门、与门和由D触发器构成的移位寄存器组成。触发器(英语:Flip-flop, FF,台湾译作正反器),学名双稳态多谐振荡器(Bistable Multivibrator),是一种应用在数字电路上具有记忆功能的循序逻辑组件,可记录二进位制数字信号“1”和“0”。触发器是构成时序逻辑电路以及各种复杂数字系统的基本逻辑单元。触发器的线路图由逻辑门组合而成,其结构均由SR锁存器派生而来(

19、广义的触发器包括锁存器)。触发器可以处理输入、输出信号和时钟频率之间的相互影响。在本次课程设计中,我们使用了边沿D触发器,电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP 高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP 触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。其功能是实现由非归零码向归零码转换,相当于对非归零码进行微分。 移位寄存器具体电路组成如图4.2所示。 图4.2 微分器顶层电路图 图4.3 微分器顶层RTL模型 图4.4 移位寄存器电路图

20、4.2 鉴相模块鉴相器包括数字微分器和两个与门。本地时钟信号和接收码元通过与门进行相位比较,从超前门输出本地相位超前的信号,从滞后门输出本地相位滞后的信号。超前与滞后信号分别送给常开门和常闭门。图4.5 鉴相模块电路图 4.3 控制调节模块 以下为控制调节模块的构成。图4.6 调节控制模块的电路图 振荡器简单地说就是一个频率源,一般用在锁相环中。详细说就是一个不需要外信号激励、自身就可以将直流电能转化为交流电能的装置。一般分为正反馈和负阻型两种。所谓“振荡”,其涵义就暗指交流,振荡器包含了一个从不振荡到振荡的过程和功能。能够完成从直流电能到交流电能的转化,这样的装置就可以称为“振荡器”。 分频

21、器的分频系数与提取的时钟频率及本地高频晶振频率有关。一般欲实现占空比为50%的偶数N分频,一般来说有两种方案:一是当计数器计数到N/2-1时,将输出电平进行一次翻转,同时给计数器一个复位信号,如此循环下去;二是当计数器输出为0到N/2-1时。时钟输出为0或1,计数器输出为N/2到N-1时,时钟输出为1或0,当计数器计数到N-1时,复位计数器,如此循环下去,第一种方案只能用于50%占空比,第二种方案可有限度的调占空比。图4.7分频器模型图5总电路图与运行结果 5.1总电路图图5.1 总电路图 5.2 仿真总结图5.2 微分器输出波形图波形分析: clk为输入时钟信号,a为输入信号,out2为微分

22、器输出信号。根据波形图分析可得:微分器将非归零码变成归零码,是因为归零码含有定时信息。图5.3 鉴相模块电路图波形分析: 分频器输出的信号经鉴相器鉴别与输入信号相位是否一致,若相位超前,则鉴相器输出超前脉冲f;反之,鉴相器输出滞后脉冲g。图5.4 振荡器输出的波形图 波形分析:振荡器产生频率相同,相位相差180的两个窄带脉冲序列,即outa与outb。图5.5 分频器输出波形图波形分析: 分频器的分频系数与提取的时钟频率及本地高频晶振频率有关。分频器输入频率是分频器输出频率的60倍,晶振的频率是分频器输出频率的120倍。从实际电路可以看出,分频器的输入是根据鉴相器两个端口的值决定的。当两个鉴相

23、器输出端口均为低电平即无超前或滞后窄带脉冲时,分频器输入也是低电平;当两个输出端口都是高电平时,分频器输入是高频率波形;当一个端口是高电平,另一个端口是低电平时,分频器输出波形就是低频率的波形。 分频器的输出是由输入频率决定的,即输入频率为高频率时,分频器输出为低电平;输入信号为低频率或低电平时,分频器输出为高电平。图5.6 总输出波形图波形分析: clk_in为输入时钟信号,a为输入信号,out2为微分器输出信号,q为振荡器部分D触发器输出,outa与outb分别为振荡器振荡器输出的窄带脉冲,其中outbb为outb的非,f为超前脉冲,ff为f的非,g为滞后脉冲,fenpinqi1为分频器输

24、入信号,fenpinqi2为分频器输出信号,clk_out为输出信号。由运行结果的波形图可知,out2与a的幅度、相位一致;fenpinqi1的相位与输fenpinqi2的相位相反;clk_out与a保持同步。当鉴相器产生超前脉冲时,f为低电平,ff为高电平,与振荡器产生的窄带脉冲a相与,使分频器输入信号为a窄带脉冲;当鉴相器产生滞后脉冲g时,与振荡器产生的窄带脉冲b相与,使分频器分频器输入信号为a与b非信号相异或的信号。又由于分频器的输出是由输入频率决定的,即输入频率为高频率时,分频器输出为低电平;输入信号为低频率或低电平时,分频器输出为高电平。所以得到了fenpinqi2。根据总电路图可知

25、,out2为fenpinqi2的非,所以得到了out2。运行结果体现了位同步的调制,验证了实验的正确性。6心得体会 通过这次课程设计,加深了我对位同步这一知识点的理解。现在我了解到位同步分为外同步法与自同步法。外同步是一种利用辅助信息同步的方法,即需要在传输的信号中另外加入包含定时信息的导频信号。自同步法,它不需要辅助同步信息,而是直接从接收的信号序列中提取码元定时信息。显然,这种方法要求接收信号中含有码元定时信息。在本次课设中,我运用了自同步中的数字锁相法来实现对位同步信号的设计与建模。通过这一周课程设计,我掌握了Quartus II软件的应用方法,并熟悉了位同步的原理与位同步各个流程单元的

26、作用。本次课设主要要求我们的是对Quartus II这一软件的应用,以及对位同步原理的掌握。在本次课程设计中,我们将整个位同步电路划分成码型变换器、鉴相器、控制调节器三大模块。码型变换的作用就是将NRZ码变换成RZ码,其中微分器较为复杂,它由移位寄存器为主要部分组成,而移位寄存器由十个D触发器组成。于是,我们先画出这一部分的电路图,然后运用Quartus II自动生成代码。最后生成波形,观察是否符合理论的结果。刚开始几次,我们的输出波形总是错误的,最后发现我们的移位寄存器的D触发器少用了两个。在改正过后,通过观察微分器的输出波形,终于成功了。在控制调节模块中,分频器与振荡器就是其中的重要组成部

27、分,在分频器这一部分我们是先编写代码,然后运用Quartus II使其自动生成电路图。 通过这次课程设计,让我更加深刻了解课本知识,和以往对知识的疏忽得以补充,在课程设计中遇到了一些问题,例如:微分器,但经过我们的努力,都一一解决。这次课程设计给我相当的基础知识,为我打下了良好的基础。参考文献1段吉海.数字通信系统建模与设计.北京:电子工业出版社,2004.2江国强.EDA技术与应用.北京:电子工业出版社,2010.3刘泉、江雪梅,信号与系统,高等教育出版社,2006.4JohnG.Proakis.DigitalCommunications.北京:电子工业出版社,2011.5高西全,丁玉美.数

28、字信号处理(第三版)学习指导,西安科技大学出版社,2001.6王虹,通信系统原理. 北京:国防工业出版社,2014.附录 分频器VHDL语言程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity counter66 is port(clk:in std_logic; qou:out std_logic);end counter66;architecture behave of counter66 issignal countq_temp:integer range 0 to 29;signal

29、 countq:std_logic; Begin Process(clk) Begin If clkevent and clk=1 then If countq_temp29 then countq_temp=countq_temp+1; Else countq_temp=0; countq = not countq; end if; end if; end process; qou=countq;end behave; 移位寄存器VHDL语言程序LIBRARY ieee;USE ieee.std_logic_1164.all; LIBRARY work;ENTITY shlef10 IS P

30、ORT( codein : IN STD_LOGIC; clkin : IN STD_LOGIC; codeout : OUT STD_LOGIC);END shlef10;ARCHITECTURE bdf_type OF shlef10 IS SIGNAL SYNTHESIZED_WIRE_20 : STD_LOGIC;SIGNAL DFF_inst9 : STD_LOGIC;SIGNAL DFF_inst1 : STD_LOGIC;SIGNAL DFF_inst2 : STD_LOGIC;SIGNAL DFF_inst3 : STD_LOGIC;SIGNAL DFF_inst4 : STD

31、_LOGIC;SIGNAL DFF_inst5 : STD_LOGIC;SIGNAL DFF_inst6 : STD_LOGIC;SIGNAL DFF_inst7 : STD_LOGIC;SIGNAL DFF_inst8 : STD_LOGIC;BEGIN SYNTHESIZED_WIRE_20 = 1;PROCESS(clkin,SYNTHESIZED_WIRE_20,SYNTHESIZED_WIRE_20)BEGINIF (SYNTHESIZED_WIRE_20 = 0) THEN DFF_inst1 = 0;ELSIF (SYNTHESIZED_WIRE_20 = 0) THEN DFF_inst1 = 1;ELSIF (RISING_EDGE(clkin) THEN DFF_inst1 = codein;END IF;END PR

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1