ImageVerifierCode 换一换
格式:DOCX , 页数:40 ,大小:466.43KB ,
资源ID:7423675      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7423675.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(FPGA课程设计.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

FPGA课程设计.docx

1、FPGA课程设计-本页仅作为文档封面,使用时请直接删除即可- -内页可以根据需求调整合适字体及大小-FPGA课程设计(最终版)(总39页)课程设计任务书学生姓名: 专业班级: 指导教师: 工作单位: 信息工程学院 题 目: 电子琴的设计课程设计目的: FPGA原理与应用课程设计的目的是为了让学生熟悉基于VHDL语言进行FPGA开发的全流程,并且利用FPGA设计进行专业课程理论知识的再现,让学生体会EDA技术的强大功能,为今后使用FPGA进行电子设计奠定基础。课程设计内容和要求设计内容:(1)设计一个八音电子琴。(2)由键盘输入控制音响,同时可自动演奏乐曲。 (3)用户可以将自己编制的乐曲存入电

2、子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。要求每个学生单独完成课程设计内容,并写出课程设计说明书、说明书应该包括所涉及到的理论部分和充足的实验结果,给出程序清单,最后通过课程设计答辩。时间安排:序号阶段内容所需时间1方案设计1天2软件设计2天3系统调试1天4答辩1天合 计5天指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日 摘 要随着基于FPGA的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译

3、、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。此次设计主要是基于VHDL文本输入法设计乐曲演奏电路,运用VHDL语言对简易电子琴的各个模块进行设计,并使用EDA工具对各模块进行仿真验证。该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,通过按键输入来控制音响或者自动演奏已存入的歌曲。系统由乐曲自动演奏模块、音调发生模块和数控分频模块

4、三个部分组成。选择手动弹奏模式按键时,按下音符键后就会选通相应的频率输出;选择自动演奏模式按键时,储存器会将编写好的音符信息依次取出,去选通各个对应的频率输出,实现自动演奏。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、电路功能验证,奏出美妙的乐曲(当然由于条件限制,暂不进行功能验证,只进行编程和时序仿真)。关键词:EDA,VHDL,电子琴,自动演奏AbstractWith the expansion and further FPGA-based EDA technology development and applications, the importanc

5、e of EDA technology in the field of electronic information, communication, computer and other automatic control have become increasingly prominent. EDA technology is to the computer as a tool designer in the EDA software platform, hardware description language HDL complete the design file and then a

6、utomatically done by computer logic compilation, simplification, segmentation, integration, optimization, placement, routing and simulation, until for specific target chip adapter compilation, mapping and logic programming download work. Use of EDA tools, electronic designers from concept, algorithm

7、s, protocols, and so began the design of electronic systems, a lot of work can be done by computer and electronic products can be from the circuit design, performance analysis to the entire process of IC layout design or layout of the PCB automatic processing is completed on the computer.The design

8、is mainly based on VHDL text input music performance circuit design, each module using VHDL language of simple flower design, and the use of EDA tools for simulation of each module. The system is based on the principle of the computer clock divider, using top-down design approach to achieve, through

9、 the key input to control the sound or song to automatically play has been deposited. System from automatically playing music module, tone generator module and NC divider module three parts. When you select the manual mode after the play button, it will note is pressed the corresponding frequency st

10、robe output; Select Auto Play mode button, the reservoir will be removed in order to write good music information, each corresponding to the frequency of the strobe output, automatic playing. System implementation is hardware description language VHDL by a modular approach to design, and then progra

11、mming, timing simulation, circuit functional verification, play wonderful music (of course, due to constraints, they will not perform functional verification, and timing simulation program only) .Key words: EDA, VHDL, electronic organ, automatic play1设计意义和要求设计意义电子琴作为音乐与科技的产物,在电子化和信息化的时代,为音乐的大众化做出了很大

12、的贡献,歌曲的制作大多数都要由电子琴来完成,然后通过媒介流传开来,电视剧和电影的插曲、电视节目音效、甚至手机铃声,都很可能包含电子琴的身影。电子琴是数字电路中的一个典型应用。然而在实际的硬件设计中用到的器件非常多,连线比较复杂,同时会产生比较大的延时,从而造成测量误差较大,可靠性不好。以EDA工具作为开发手段,运用VHDL硬件描述语言可以使使整个系统大大简化,提高了电子琴整体的性能和可靠性。功能要求1)设计一个八音电子琴;2)由键盘输入控制音响,同时可自动演奏乐曲;3)用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。2方案论证及原理分析实现方案比较方案一:采用数字

13、逻辑电路制作,用IC拼凑焊接实现。其特点是直接用现成的IC组合而成,简单方便,但本系统需用到许多分频器,这就使得需要用到相当多的IC,从而造成了体积过于庞大,而且连线也会比较复杂。方案二:由单片机来完成设计。可用单片机控制键盘的输入,以及产生相应的频率信号作为输出。目前,单片机的功能已比较强大,集成度日益增高且其设计和控制比较容易。但是由于在传统的单片机设计系统中必须使用许多分立元件组成单片机的外围电路,如锁存器,译码器等都需要单独的电路,因此整个系统显得十分复杂,抗干扰性差,在运行过程中容易死机或进入死循环,可靠性降低,而功耗费用增高。方案三:采用可编程逻辑器件(FPGA)来完成该设计,将所

14、有器件集成在一块芯片上,大大减小了电子琴的体积,可靠性和精度都比较好。用VHDL编程实现时更加方便,而且易于进行功能扩展,并可调试仿真,制作时间大大缩短。综合分析后我认为,方案三采用FPGA的方法来实现,不仅可以实现按键播放音乐和自动播放音乐的要求,有较高的灵敏度和可靠性。并且原理方法和模块结构清晰,制作方案比较容易实现,所以我采用方案三作为具体实现方案。乐曲实现原理乐曲都是由一连串的音符组成,按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在扬声器上连续地发出各个音符的音调。为了准确地演奏出一首乐曲,仅仅让扬声器能够发出声音是远远不够的,还必须准确地控制乐曲的节奏,即每个音符的持续时间。由

15、此可见,乐曲中每个音符的发音频率以及音符持续的时间是乐曲能够连续演奏的两个关键因素。乐曲的12平均率规定:每2个八度音之间的频率要相差1倍,比如简谱中的中音2与高音2。在2个八度音之间,又可分为12个半音。另外,音符A(简谱中的低音5)的频率为392Hz,音符E到F之间、B到C之间为半音,其余为全音。由此可以计算出简谱中从低音l至高音1之间每个音符的频率。简谱音名与频率对应关系如表2-1所示:音名频率/Hz音名频率/Hz音名频率/Hz低音1262中音1523高音11047低音2296中音2587高音21175低音3330中音3659高音31319低音4350中音4698高音41397低音539

16、2中音5784高音51568低音6440中音6880高音61760低音7494中音7988高音71976表2-1 简谱音名与频率的对应关系 使用一分频器来产生各音符所需的频率,但由于各音符对应的频率多为非整数,而分频系数又不能为小数,所以必须将计算得到的分频数四舍五入取整数。若分频器时钟频率过低,则由于分频系数过小,四舍五入取整数后的误差较大;若时钟频率过高,虽然误差变小,但分频数将会变大。在实际的设计中应综合考虑这两方面的因素,在尽量减小频率误差的前提下取合适的时钟频率。实际上,只要各个音符间的相对频率关系不变,演奏出的乐曲听起来都不会走调。设计的音乐电子琴选取32MHZ的系统时钟频率。在数

17、控分频器模块,首先对时钟频率进行4分频,得到8MHZ的输入频率,然后再次分频得到各音符的频率。由于数控分频器输出的波形是脉宽极窄的脉冲波,为了更好的驱动扬声器发声,在到达扬声器之前需要均衡占空比,从而生成各音符对应频率的对称方波输出。这个过程实际上进行了一次二分频,将脉冲展宽。因此,分频系数的计算可以按照下面的方法进行。以中音1为例,对应的频率值为523Hz,它的分频系数应该为: 至于其他音符,可由上式求出对应的分频系数,这样利用程序可以很轻松地得到相应的乐声。各音名对应的分频系数如表2-2所示:音名频率/Hz分频系数音名频率/Hz分频系数中音15237648高音110473820中音2578

18、6920高音211753404中音36596069高音313193032中音46985730高音413972863中音57845102高音515862522中音68804545高音617602272中音79884048高音719762024低音539210204低音64409090表2-2 各音名对应的分频系数音符的持续时间须根据乐曲的速度及每个音符的节拍数来确定。因此,要控制音符的音长,就必须知道乐曲的速度和每个音符所对应的节拍数。如果将全音符的持续时间设为1s的话,那么一拍所应该持续的时间为秒,则只需要提供一个4HZ的时钟频率即可产生四分音符的时长。至于音长的控制,在自动演奏模块,每个乐曲

19、的音符是按地址存放的,播放乐曲时按4HZ的时钟频率依次读取简谱,每个音符持续时间为秒。如果乐谱中某个音符为三拍音长,那又该如何控制呢其实只要在3个连续地址存放该音符,这时就会发三个秒的音长,即持续了三拍的时间,通过这样一个简单的操作就可以控制音长了。系统组成及工作原理系统组成 整个系统由乐曲自动演奏模块、音调发生器模块和数控分频器模块三个部分组成。乐曲自动演奏模块又包含了键盘的编码,并且设置了一个自动演奏/键盘输入切换auto。乐曲自动演奏模块的作用是产生发声控制输入信号。音调发生器根据发声控制输入产生获得音阶的分频预置值(即分频系数)。数控分频器根据分频预置值对FPGA的基准频率进行分频,得

20、到与各个音阶对应的频率输出。系统组成框图如图2-1所示。图2-1系统组成框图系统工作原理系统的基准时钟脉冲为32MHz,所以在本设计中需要将其进行分频,以得到所需要的脉冲来发出相应的音符。键盘输入一共有9个按键,除了8个音符对应的按键之外,还设置一个自动演奏/键盘输入切换auto,它不是一个单独的模块,它和其他按键一起包含在乐曲自动演奏模块中,作用相当于一个开关。当auto=“0”时,选择自动演奏音乐存储器里面的乐曲,自动演奏模块以4Hz的频率输出8位发声控制输入信号,再送入音调发生器。当8位发声控制输入信号中的某一位为高电平时,则对应某一音阶的数值将在端口tone输出,该数值即为该音阶的分频

21、预置值,音调发生器还输出音符显示信号、高低音显示信号。最后由数控分频模块按照音调发生器输出的分频预置值进行分频,得到存储的乐曲的音符的频率,之后由扬声器输出对应的声调。auto=“1”时,选择键盘输入的信号,8个按键分别对应8个音符,自动演奏模块将按键输入转化为8位发声控制输入信号送入音调发生器,最后通过数控分频模块得到按键对应的音符的频率,之后由扬声器输出对应的声调。3系统模块设计顶层模块的设计VHDL采用的是自顶向下的设计方式,顶层模块由乐曲自动演奏(automusic),音调发生器(tone)和数控分频器(speaker)三个模块组成。其中乐曲自动演奏部分(automusic)又包括了键

22、盘编码,还设置了一个自动演奏/键盘输入切换auto,即当auto=“0”时,选择自动演奏音乐存储器里面的乐曲,auto=“1”时,选择由键盘输入的信号,再对其进行编码。两种情况下输出的都是八位二进制数,对应音调发生器的输入。图3-1即是顶层模块设计原理图。图3-1顶层模块设计原理图乐曲自动演奏模块的设计为了实现电子琴的功能要求,需要设计一个自动演奏模块,该模块的作用是产生8位发声控制输入index。当auto为“0”或“1”时可以选择自动演奏或者键盘输入,如果auto为“0”,则由存储在此模块中的8位二进制数来作为发声控制输入index,由此便可自动演奏乐曲;当auto为“1”时,则由键盘的输

23、入转化为8位2进制数作为发声控制输入index。此模块的VHDL语言中包括三个进程,首先是对基准脉冲进行分频得到4Hz的脉冲,作为第二个进程的时钟信号,它的目的是控制每个音阶之间的停顿时间,此处便是1/4=;第二个进程完成自动演奏部分乐曲的地址累加;第3个进程是输出存储的自动演奏的乐曲或键盘输入的发声控制输入index。乐曲自动演奏模块如图3-2所示。图3-2乐曲自动演奏模块音阶发生器模块的设计音阶发生器的作用是产生获得音阶的分频预置值。当8位发声控制输入index中的某一位为高电平时,则对应某一音阶的数值将以端口tone输出,作为获得该音阶的分频预置值,该值作为数控分频器的输入,来对4MHz

24、的脉冲进行分频,由此得到每个音阶相应的频率,例如输入index=00000010,即对应的按键是2,产生的分频系数便是6920由code输出对应该音阶简谱的显示数码;由high输出指示音阶高8度的显示,高电平有效。音阶发生器如图3-3所示。图3-3音阶发生器模块数控分频器模块的设计数控分频模块的目的是对基准脉冲分频,得到0,1,2,3,4,5,6,7七个音符对应频率。该模块的VHDL描述中包含了三个进程。首先对32MHz的基准脉冲进行分频得到8MHz的脉冲,然后按照tone1输入的分频系数对8MHz的脉冲再次分频,得到的便是所需要的频率。而第三个进程的作用是在音调输出时再进行二分频,将脉冲展宽

25、,以使扬声器有足够功率发音。图3-4数控分频器模块4程序设计设计语言和ISE环境简介语言简介VHDL是超高速集成电路硬件描述语言,是一种用于电路设计的高级语言。它出现于80年代后期,最初是由美国国防部开发出来的,是为了供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中,同时也被一些实力较为雄厚的单位用来设计ASIC。VHDL具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计特点。其开发流程:在顶层用方框图或硬件语言对电路的行为进行描述后,进行系统仿真验证和纠错

26、。再用逻辑综合优化工具生成具体的门级逻辑电路的网表。然后通过适配器将网表文件配置于指定的目标器件,产生最终下载文件或配置文件。最后把适配后生成的下载或配置文件通过编程器或编程电缆下载到具体的FPGA/CPLD器件中去,以便进行硬件调试和验证,而实现可编程的专用集成电路ASIC的设计。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL系统设计与其他硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,

27、从逻辑行为上描述和设计大规模电子系统的重要保证。 Xilinx ISE简介Xilinx 是最大的FPGA/CPLD 生产商之一,其设计开发的软件也不断升级换代,已从Foundation系列发展到目前的ISE 系列。ISE(Integrated System Configuration)是集成综合环境的简称,是Xilinx提供的一套工具集,其集成的设计工具可以完成整个FPGA/CPLD的开发过程。ISE具有强大辅助功能,在编写代码时可以使用编写向导生成文件共和模块框架,也可使用语言模板(Language Templates)帮助编写代码。在图形输入时可以使用ECS的辅助项帮助设计原理图。另外,I

28、SE的 Core Generator和 LogiBLOX工具可以方便地生成 IP Core (IP核)与高效模块为用户所用,大大减少了设计者的工作量,提高了设计效率与质量。 ISE 通过改进综合、实现等关键环节的优化手段与方法,提高了设计的工作速度,减小了设计消耗的面积,使设计结果能更好地调动芯片的内部资源,工作更高效。 顶层模块的程序设计顶层模块(top)是整个电子琴设计的核心,也是VHDL程序的主程序,其他三个子模块的源程序都是作为子程序分别实现电子琴的某一功能,而顶层模块则通过调用子程序最终实现乐曲演奏的目的,奏出美妙的乐曲。利用VHDL语言COMPONENT将三个模块组合起来,其中3个

29、模块和顶层模块的输入输出是一一对应的,比如auto对应handTOauto, tone0对应tone2, spks对应spkout等。设计时采用自顶而下的设计方法,其软件流程图如图4-1所示。图4-1顶层模块软件流程图乐曲自动演奏模块的程序设计该模块的VHDL源程序主要由3个工作进程组成,分别为PULSE0,MUSIC和COM1。PULSE0的作用是判断自动演奏(键盘输入)的值0或(1),若为0则要将系统时钟进行8M的分频,得到4Hz的信号clk2。如果产生了clk2,那么第二个进程MUSIC就会根据clk2时钟完成自动演奏部分乐曲的地址累加。在第三个进程中就根据地址输出存储的乐曲对应的音符的

30、8位发声控制输入index,如果在第一个进程中判断为键盘输入,在此进程中就将输入按键对应的音符转化为8位发生控制输入index。软件流程图如4-2所示。图4-2乐曲自动演奏模块流程图音阶发生器模块的程序设计音阶发生器模块的作用是产生音阶的分频预置值。该模块的唯一输入信号INDEX对应就是自动模块中最后的输出INDEX0。音符显示信号CODE,高低音显示信号HIGH和音符分频系数TONE都是根据音符输入确定的。比如我们自定义INDEX第1位为高电平时,它的分频系数则为6920,音符显示信号为0010010,此时高低音显示0表示非高音。部分源程序如下: CASE INDEX IS WHEN0000

31、0010=TONE0=6920;CODE=0010010;HIGHTONE0=4048;CODE=0001111;HIGHTONE0=8191;CODE=0000001;HIGH=0;显然,该模块最主要的作用就是给音符输入预设频率值,因为,电子琴最终实现乐曲演奏就是输出不同频率的声波,此模块就是将二进制发声信号转化为对应分频系数。数控分频模块的程序设计该模块的VHDL源主要由3个工作进程组成。首先,第一个进程根据系统时钟信号的输入进行4分频得到预分频时钟脉冲。第二个进程是此模块的核心,即按照tone1输入的分频系数对8MHz的脉冲再次分频,得到所需要的音符频率。第三个进程是在音调输出时再进行二分频,将脉冲展宽

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1