ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:274.63KB ,
资源ID:7368065      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7368065.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计1616字符发生器.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计1616字符发生器.docx

1、EDA课程设计1616字符发生器EDA课程设计 -16X16字符发生器学 院 : 阜阳师范学院专 业 : 电子信息科学与技术班 级 :08级电子一班姓 名(学 号) : 刘蕊(200840620119)、穆良群(200840620123)邵敏(200840620125)、邵盛楠(200840610318)张南南(200840620143)、张雪琳(200840620145)时间:2010年12月1日一设计任务及要求1.设计任务:利用实验箱上16X16点阵,设计字符发生器,可以循环显 示预置字符:同心协力。 2.设计要求:(1)利用VHDL编写字符扫描驱动电路; (2)设计一个可以自动循环显示4

2、个字符的电路; (3)编写预置字符的rom程序生成模块接入电路。 二.总体框图 设计思路:此电路由八进制计数器,ROM和ADDRESS1这三部分构成。ADDRESS1的作用是在时钟clk的作用下将从rom中读出的信号对应正确的写在1616的点阵上。Reset是复位端,起复位作用。输出addr4.1选中16X16LED点阵的对应列,随着addr4.1值得增加,从左往右依次选中点阵的各列addr0则决定输出是在高位还是在低位。FLEX10的lout0-7和hout0-7分别与LED点阵的低八位L0-7和高八位L8-15相连。八进制计数器是在addr7.0输出0-3的循环计数,由此输出与ADDRES

3、S1的输出addr4.0共同作为rom的输入地址,以此决定q7.0的输出(即ADDRESS1的DIN7.0的输入),此八进制计数器是由74LS160十进制计数器修改得来的,输出由原来十进制的0-9循环输出变为八进制的0-3循环输出。Rom是一个用来存储数据的具有读写功能的的存储器,在此电路中的作用是存储“同心协力”四个字.在addr7.0输入相应的地址时读取rom中的相应的数据,然后在输出端q7.0输出。在时钟脉冲的作用下,地址计数器计数,EPROM相对应的地址单元中的代码输出,以驱动列选通线产生电路。地址计数器同时又为行选通线产生电路。地址随着地址计数器计数值的变化,发光二极管显示屏逐行扫描

4、,显示屏上显示出字符或图案。各模块功能:1) ROM是只读存储器存放字符的代码, 他是字符显示器的核心部件。2)发光二极管显示屏用来显示字符或图案,他是由若干发光二极管组成的点阵式显示屏。 3) ADDRESS1的作用是在时钟clk的作用下将从ROM256*8中读出的信号对应正确的写在1616的点阵上。三 选择器件与功能模块1.用来构成输出八进制的74LS160十进制计数器(1).74LS160器件图 A.B.C.D 为输入端; LDN为置数端;ENT与ENP为使能控制端;CLRN为置零端; RCO为进位输出端; QA.QB.QC.QD为输出端;CLK为脉冲信号输入端.(2). 74160内部

5、结构图(3).74160功能表CPCLRN(RD非)LDN(LD非) EP ET工作状态X0X X X置零10 X X预置数X11 0 1保持X11 X 0保持(C=0)11 1 1计数2ADDRESS1模块设计文件ADDRESS1.VHD说明: CLK为时钟输入端口; RESET为置零端; DIN7.0为接受ROM数据信号端口; AD4.0为片选地址输出; HOUT7.0,LOUT7.0分别为高八位断驱动和低八位短驱动.Address1设计程序:LIBRARY IEEE;USE IEEE.std_logic_1164.ALL;USE IEEE.std_logic_unsigned.ALL;E

6、NTITY address1 ISPORT( clk,reset:IN STD_LOGIC; ad :OUT STD_LOGIC_VECTOR(4 downto 0); din:in STD_LOGIC_VECTOR(7 DOWNTO 0); hout,lout:out STD_LOGIC_VECTOR(7 downto 0);END address1;ARCHITECTURE a OF address1 ISBEGIN process(clk,din,reset)敏感信号 VARIABLE Q1:STD_LOGIC_VECTOR(4 DOWNTO 0);-标准逻辑变量 BEGIN IF CL

7、KEVENT AND CLK=1THEN IF RESET=0OR(Q1=11111)THEN Q1:=00000; ELSE Q1:=Q1+1; END IF; END IF; CASE Q1(0)IS WHEN 0= LOUT=din; HOUT0); WHEN 1= HOUT=din; LOUT0); WHEN OTHERS= null; END CASE; ad=Q1; END PROCESS;END a;模块功能:地址选择器包含行选线产生电路和列选线产生电路,从ROM中选择相应的地址输出。Clk为扫描时钟脉冲,控制扫描速度的快慢;RESET为复位端,只有在RESET为高电平的情况下,

8、在脉冲上升沿到来时,ad计数,其中ad4.1输出扫描驱动信号,接入扫描片选端,依次选中LED点阵的各列,din输入字符存储器中每个存储单元的数据,hout7.0控制LED点阵的高八位lout7.0 控制LED点阵的低八位,din中存储器中调用的数据模块分别由hout7.0或lout7.0输出,在LED点阵中经扫描显示字符。仿真图: 3.用来存储字符的ROM256X8存储器(1).存入ROM中的数据width=8;depth=256;address_radix=hex;data_radix=hex;content begin00:00;01:00;02:fe;03:ff;04:02;05:00;

9、06:02;07:00; -tong08:92;09:3f;0a:92;0b:10;0c:92;0d:10;0e:92;0f:10;10:92;11:10;12:92;13:10;14:92;15:10;16:da;17:3f;18:02;19:40;1a:02;1b:80;1c:ff;1d:7f;1e:02;1f:00;20:00;21:00;22:00;23:00;24:00;25:04;26:00;27:02; -xin28:c0;29:01;2a:00;2b:00;2c:f0;2d:3f;2e:01;2f:40;30:02;31:40;32:1c;33:40;34:08;35:40;3

10、6:00;37:07; 38:00;39:70;3a:80;3b:00;3c:80;3d:00;3e:00;3f:07;40:10;41:00;42:10;43:00;44:ff;45:ff;46:10;47:00; -xie 48:10;49:04;4a:80;4b:03;4c:00;4d:40;4e:10;4f:20;50:10;51:1c;52:ff;53:03;54:10;55:00;56:10;57:40; 58:10;59:80;5a:f8;5b:7f;5c:90;5d:00;5e:00;5f:03;60:10;61:80;62:10;63:80;64:10;65:80;66:10

11、;67:60; -liEnd; (2)由VHDL编译生成的ROM256X8存储器图 1616扫描LED点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯。所以其扫描译码地址需4位信号线。 2864E2PROM存贮器是电可擦除/编程的只读存贮器,容量为8k8bit ,有13位并行地址线和8位并行数据线,而一个完整的字符所需的存贮容间为32字节即324bit,也就是说2864最多可连续存256个1616点阵字形。存贮方式可事先约定好.四.总体设计电路图 1).工作情况:将74LS160十进制计数器变为了八进制的计数器。在输入clk1的作用下在输出端输出

12、000-111的地址数据。八进制输出的地址数据与ADDRESS1的输出addr4.0共同作为了存储器ROM的地址输入。此输入地址选定了存储器中在该地址上存储的数据。然后将数据在存储器的输出端q7.0输出。存储器的输出作用下输出的addr4.1决定了输出结果在1616的点阵上列循环扫描。而addr0则决定着输出在1616的点阵上的行扫描,也即输出时在低位(lout)还是在高位(hout)。 总体上说来就是计数器提供部分地址,而ROM是一个字符的存储库,ADDRESS1则是决定着要输出的字符如何在1616的点阵上扫描输出. 2).模块间的连接关系:计数器的输出连接在 ROM2598的地址输入端,R

13、OM2598的输出q7.0连接在 ADDRESS1的din7.0端。 ADDRESS1的输出addr4.0又回到了ROM2568的地址输入端。1.时序仿真结果仿真分析:RESET接低电平;CLK1为CLK的10倍,当CLK1第一个上升沿未到来时,列选信号输出端为00,即点阵第一列选通,此时LED低八位L7L4为1110十六进制为E,L3L0为0000十六进制为0;LED高八位L15L12为0000十六进制为0,L11L8为0000十六进制为0.由此可推断模拟结果符合设计要求.2.管脚分配图五心得体会 通过本次课程设计我感触很深,这是我做的第一次课程设计,从开始到结束到是在匆匆忙忙的生活中度过的,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。在编辑模块过程中我遇到很多问题,所以慢慢的很少讨论问题的我跟同学对设计的交流成了家常便饭,在整个过程中虽然付出了很多的努力,当看到显示频上不断跳出自己设计的字符时我觉得任何困难都值得。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。六附录

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1