ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:353.47KB ,
资源ID:7319659      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7319659.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(毕业设计论文基于vhdl的数字温度计的设计管理资料.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

毕业设计论文基于vhdl的数字温度计的设计管理资料.docx

1、毕业设计论文基于vhdl的数字温度计的设计管理资料常州机电职业技术学院毕业设计(论文)作 者: 学 号:05313203、05310007系 部: 电气工程系 专 业: 应用电子专业 题 目: 基于VHDL的数字温度计的设计 指导者:颜云华评阅者: 2007年 10月 毕业设计(论文)中文摘要设计制作一个具有0100的数字温度表,测量值数据用3位数码管显示,其中有一位小数,小数点能自动移动,小数点左边一位以上的零自动熄灭。具有被测温度上、下限值时,用“嘀嘟”音响发出报警信号。分析设计任务,提出多种设计方案,根据当时的制作条件,选择其中一种方案绘制原理框图和设计流程。设计出各部分电路模块,编写V

2、HDL程序,计算元器件参数,确定元器件型号和数量,提出元器件清单。安装调试硬件电路,制作以CPLD为基础的专用集成电路芯片ASIC。对制作的电路做功能测试,分析各项技术指标,对VHDL描述做功能仿真。总结设计资料,整理打印包括原理图、工作波形的设计报告。交验并演示自己制作的硬件电路整体装置。关键词:数字温度表 VHDL CPLD 毕业设计(论文)外文摘要Title: Based on VHDL Design of Digital Thermometer Abstract:Designed by a 0 to 100 digital thermometer, measurement data w

3、ith three digital display, including a small number, a decimal point can automatically move the left side of a decimal point above the zero automatically extinguished. Measurement error is less than . With the measured temperature, the lower limit, as required - Du sound a warning signal. Analysis a

4、nd design tasks, to a variety of design options, according to the prevailing conditions of the production, choose Block diagram of a programme mapping and design process. All parts of circuit design module, the preparation of VHDL program, components of parameters to determine the type and number of

5、 components, the components to the list. Installed and tested hardware circuit, producing a CPLD-based ASIC chip ASIC. Circuit done on the production of functional testing, analysis of the technical indicators, the VHDL description do functional simulation. Aggregate design information, including sc

6、hematics print finishing, the waveform design reports. Produce their own demonstration and validation of the overall circuit hardware devices.Keywords : Digital thermometer VHDL CPLD1 引言12 元器件列表2 AD590器件简介3 OP07器件简介4 MC1403器件简介5 ADC0809器件简介73 硬件部分111112 A/D变换电路144 软件部分171719结论 21致谢 21参考文献221引言研究工作的目

7、的:设计制作数字温度表。范围:一个具有0100的数字温度表。研究设想:超出测量温度上、下限值时,用“嘀嘟”音响发出报警信号。理论分析:设计出各部分电路模块,编写VHDL程序,计算元器件参数,确定元器件型号和数量,提出元器件清单和数量,提出元器件清单。研究设想:具有被测温度上、下限值时,用“嘀嘟”音响发出报警信号。研究方法:分析设计任务,提出多种设计方案,根据当时的制作条件,选择其中一种方案绘制原理框图和设计流程。实验设计:提出元器件清单。安装调试硬件电路,制作以CPLD为基础的专用集成电路芯片ASIC。对制作的电路做功能测试,分析各项技术指标,对VHDL描述做功能仿真。预期结果:测量值数据用3

8、位数码管显示,其中有一位小数,小数点能自动移动,小数点左边一位以上的零自动熄灭。意义:总结设计资料,整理打印包括原理图、工作波形的设计报告。交验并演示自己制作的硬件电路整体装置。绪论对于日益庞大的学籍信息管理系统,如果还是才同传统的人工管理方式的话,不仅对管理的老师来说,是项沉重的负担,同时对信息存储空间和信息的使用者来说,也会不堪重负。传统的人工管理方式存在较大的纰漏。这些对信息处理地失之毫厘,很可能造成后期信息校对的失之千里。因此对学籍管理系统的软件需求也是日以剧增。尤其是对基于WEB的学籍系统的开发更是众所期待的。它不仅能节省了大量的人力,物力,财力和存储空间。而且能使整个系统使用更加便

9、捷、高效,适用于各类综合性大学的学籍管理系统。综合信息管理模块对于整个系统来说,它起着相当关键的作用。在论文的第四章“详细设计说明书”中有对该模块的六大单元功能实现的具体分析。该模块的实现能为学校领导提供,准确的、时实的各类教学信息查询,为他们能做出正确的决策,提供的重要参考依据。也是对他们所实施的政策方针,一个最直接的反馈。对整个学校的长远发展来说,它也起到了一个举足轻重的作用。第一章 绪论近年来ALL MATS由于其内部业务流程的混乱等原因导致成本不断上升,尤其是本文所论及之潜在成本的攀升从而使得企业一度在客户端陷入困境,大量的潜在客户不断流失从而影响着企业的生存与发展。 成本控制问题研究

10、概述创造成本优势是企业获得竞争优势的必要环节,而价值链优化是成本控制的重要手段。业务流程再造BPR,组织再造和文化变革,业务外包,产业价值链整合,供应商协同,分销商协同,顾客联盟,竟价策略分别是通过价值链优化进行成本控制的八种策略。在这八种策略中本文结合ALL MATS 的特殊情况直接运用业务流程再造BPR对其进行分析。这种特殊情况就算是基于从客户端到公司内部的产品成本分析-ALL MATS的根本症结在于业务流程。从研究思路上讲,本文主要遵循这样的思路:诊断 发现病因 寻求解决方法 给出解决方案方案可行性分析 得出结论。从研究方法上讲,本文主要是站立在应用的角度去解决问题。其中用到的方法有:作

11、业成本法,并且对其在应用层面有所改进,这主要是基于本文的特殊需求-按照业务部门划分成本中心;流程再造等实证分析方法。1元器件列表 AD590器件简介AD590是美国模拟器件公司生产的单片集成电路温度传感器。1、流过器件的电流(mA)等于器件所处环境的热力学温度(开尔文)度数。2、AD590的测温范围为-55+150。 3、AD590的电源电压范围为4V30V。电源电压可在4V6V范围变化,电流变化1mA,相当于温度变化1K。AD590可以承受44V正向电压和20V反向电压,因而器件反接也不会被损坏。 4、精度高。AD590共有I、J、K、L、M五档,其中M档精度最高,在-55+150范围内,非

12、线性误差为。 AD590测量热力学温度、摄氏温度、两点温度差、多点最低温度、多点平均温度的具体电路,广泛应用于不同的温度控制场合。由于AD590精度高、不需辅助电源、线性好,常用于测温和热电偶的冷端补偿。AD590温度传感器是一种已经IC化的温度感测器,它会将温度转换为电流,在8051的各种课本中经常看到。其规格如下:1、 度每增加1,它会增加1A输出电流2、 可测量范围-55至1503、 供电电压范围+4V至+30VAD590的管脚图及元件符号如下图所示:AD590的输出电流值说明如下:其输出电流是以绝对温度零度(-273)为基准,每增加1,它会增加1A输出电流,因此在室温25时,其输出电流

13、Iout=(273+25)=298A。 OP07器件简介OP07是超低漂移高精度运放,DIP8OP07D运算放大器是业界最流行的超低失调电压运算放大器之一,具有低功耗( mA典型值)和低输入偏置电流(1nA最大值)的特性,提供超低失调电压、36V电源和非常低成本的独特性能组合,是ADI OP07的低成本版本。采用SOIC或PDIP封装,和TI/NS/MAXIM等厂家OP07引脚完全兼容,可PIN-TO-PIN进行替换,且在性能和价格上更为出色。这些运算放大器可广泛应用于多个领域,包括过程控制、工业和仪器设备、有源滤波器、数据转换、缓冲器以及电源控制和监视,在满足产品严格要求的同时,并为你节省更

14、多的产品成本op07参数资料产品型号:OP07CP通道数:1关断功能:No工作电压Max. (V):36工作电压Min. (V):6每通道IQ(典型值)(mA):5带宽GBW(典型值)(MHz):转换速率(典型值)(V/us):输入失调电压(25)(Max.)(mV):失调漂移(典型值)(uV/):输入偏置电流(Max.)(pA):7000共模抑制比(Min.)(dB):100噪声电压(典型值):单电源供电:No满幅:No封装/温度():PDIP-8/070描述:精密,低噪声运放 OP07引脚图如下: OP07内部功能图: 2.3MC1403器件简介MC1403是高准确度、低温漂、采用激光修正

15、的带隙基准电压源,国产型号为5G1403和CH1403。MC1403 基本信息型号:MC1403名称/功能:25mA固定输出生产商:MOTOROLA MC1403 器件管脚图:封装/功能/性能/主要应用/供货能力等介绍:Vin(V)Vout(V)Io(max)(A)封装DIP8MC1403-低压基准芯片()输出电压: V /- 25 mV 输入电压范围: V to 40 V 输出电流:10 mA MC1403的输入-输出特性 输入电压UIV 输出电压UOV ,变化率仅为。2.4ADC0809器件简介ADC0809 工作原理 8 位AD 转换器ADC0809 为单极性输入、逐次逼近型AD 转换器

16、, 其原理图如下图所示。ADC0809 除含有8 位逐次逼近型AD 转换器外, 还有8 通道多路转换器和3 位地址锁存和译码器, 以实现对 8 路输入模拟量IN 0 IN 7 的选择。当地址锁存允许信号 ALE 有效时, 将3 位地址ADDCADDA 锁入地址锁存器中, 经译码器选择8 路模拟量中的一路通过8 位AD 转换器转换输出。输出端具有三态输出锁存缓冲器, 受输出允许信号OE 的控制, 当该信号为高电平时, 打开输出缓冲器三态门, 转换结果输出到数据总线上; 当该信号为低电平时, 输出数据线呈高阻态。ADC0809 是CMOS 工艺芯片, 允许的电源范围较宽, 可从5 15 V。当该芯

17、片采用单5 V 电源工作时, 模拟信号输入范围为0 5 V , 输出可与TTL 兼容。时钟信号CLOCK 最高允许值为640 kHz, ADC0809 的转换速度在最高时钟频率下为100 Ls 左右。 ADC0809 时序图如图2 所示。当地址锁存信号 ALE1期间, 通道选择地址(ADDA , ADDB, ADDC) 存入地址锁存器; 在ALE0 时, 地址锁存。启动信号 START 上升沿复位ADC0809, 下降沿启动AD 转换。 EOC 为输出的转换结束信号, 正在转换时为0, 转换结束时为1。一旦EOC 为高, 即可将OE 置为1, 打开输出三态门, 从0809 输出本次转换结果。

18、ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。(1)ADC0809的内部逻辑结构 (2) 引脚结构IN0IN7:8条模拟量输入通道ADC0809对输入模拟量要求:信号单极性,电压范围是05V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。CBA选择的通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6111IN72ADC0809应用说明(1)ADC0809内部带有输出锁存器,可以与AT89S51单

19、片机直接相连。(2) 初始化时,使ST和OE信号全为低电平。(3) 送要转换的哪一通道的地址到A,B,C端口上。(4) 在ST端给出一个至少有100ns宽的正脉冲信号。(5) 是否转换完毕,我们根据EOC信号来判断。(6) 当EOC变为高电平时,这时给OE为高电平,转换的数据就输出给单片机了。数字温度表是一种用数字直接显示实测温度的数字仪表。检验系统可以全部用数字集成芯片构成,也可以用A/D芯片和可编程逻辑器件构成的专用芯片结合而成,还可以采用A/D芯片和单片机结合构成。本设计主要采用前两种方法,其原理图如下图所示。温度传感器的种类很多,常用的有热电偶传感器、热电阻传感器、半导体传感器,按温度

20、转换为多种电信号,有电压型、电阻型、电流型。热电偶传感器需要做冷端补偿,在此处使用不方便。可以采用半导体传感器或电阻传感器。 .,并线性度较好,温感灵敏度是1A/K,即0的对应电流是273A,温度变化K氏1度,电流变化1A。测温范围是-55+150,满量程最大温漂T1=。上面的电路中,低温移运放OP07作信号放大,输入端的测温灵敏度1/mV,调节R2和Rf2使温度变化范围内对应的输出v0为02V。如果为了与A/D器件匹配,需要将变为05V时,可加大电源电压,并将MC1403换为合适的稳压管。,其中Rx为传感铜电阻,温度的变化经铜电阻Rx转变成电压变化,然后通过IC1、IC2实现电阻到电压的变化

21、,再用IC3作电压放大,IC4完成信号调整后由ADIN输出到A/D变换电路. A/D变换电路实现A/D变换的集成芯片种类很多。 ADC0809引脚功能 ADC0809工作波形 R/V变换及电压放大电路 ADC0809基本参数:电源电压Vcc为+5V;输入模拟电压为8路,带8选1的多路选择开关,输入电压范围为05V;8位分辨率;线性误差为+-1LSB。当地址锁存允许信号ALE变1时,3位地址代码送入地址译码器后,与地址代码相对应的一路模拟信号送入A/D转换器;当ALE变0时,地址被锁寸,在转换结束前送不进新的地址。当启动脉冲START上升时,将逐次比较器清零,下降沿到达时,开始A/D变换,变换时

22、间为100s。转换结束时,将8位数字信号锁寸于三态输出缓冲器中,并使EOC信号变高表示转换结束。同时输出允许信号OE给出一个正脉冲,A/D值送到数据输出端。 。 ADC0809与可编程器件的连接,可用CPLD实现对A/D转换的控制,并将转换结果读入CPLD中变换为温度显示电路.4 软件部分下面是用有限转台机方式编写的A/D取样VHDL的描述,程序分为组合进程和时序进程两部分,组合进程实现ADC0809工作所需的各项控制信号,时序进程实现现态到次态的转换。还可以采用12位A/D芯片AD574或其他型号芯片。LIBRARY IEEEUSE IEEE. STD_LOGIC 1164. ALLENTI

23、TY ADCINT IS PORT (D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLK,EOC. IN STD_LOGIC; LOCK1, ALE, START, OE, ADDA. OUT STD_LOGIC;END ADCINT;ARCHITECTURE behav OF ADCINT ISTYPE states IS(st0, st1, st2, st3, st4, st5, st6); SIGNAL current_state, next_state: states: =st0; SIGNAL REGL : STD_LOGIC_VECTOR(7 DOWNT

24、O 0); SIGNAL LOCK : STD_LOGIC:BEGIN ADDA = 1; LOCK1 ALE =0; START =0;OE =0; LOCK =0; next _state ALE =1; START =0;OE =0; LOCK =0; next _state ALE =0; START =1;OE =0; LOCK =0; next _state ALE =0; START =0;OE =0; LOCK =0; IF (EOC=1) THEN next_state=st3; ELSE next_state ALE =0; START =0;OE =0; LOCK =0;

25、 IF (EOC=0) THEN next_state=st4; ELSE next_state ALE =0; START =0;OE =1; LOCK =0; next _state ALE =0; START =0;OE =1; LOCK =1; next _state ALE =0; START =0;OE =0; LOCK =0; next _state=st0; END CASE; END PROCESS PRO; PROCESS (CLK) BEGIN IF (CLKEVENT AND CLK = 1)THEN current_state = next_state; END IF

26、; END PROCESS; PROCESS (LOCK) BEGIN IF LOCK =1 AND LOCKEVENT THEN REGL=D; END IF; END PROCESS; Q= REGL;END behave求取样数字量到温度的对应值的方法一般用公式法和查表法,例如,8位数字量DB7DB0从00H变到FFH对应温度是0变100到,用公式法计算则:温度T=(DB0DB7/FFH),需进行乘除法运算,可用编程器件实现是不具备优势的。另一种方法是查表,即把取样值DB0DB7按照模拟输入电压与温度的关系编写一个表格,每输入一个取样数字量DB0DB7,则直接读取相应的温度值送到显示器显

27、示出来。具体的实现方法可以使用存储器,也可以用CPLD制造一个完成查表功能的硬件模块。如果采用存储器,则将A/D取样值的DB0DB7作存储器地址,相应的温度值则是存储单元中的数据。由于8位取样值有256组数据,直接列表会出现程序过长的问题,现在将DB0DB7分成高4位和低四位,分别列出各组数与温度的关系,如表11所示。编程时由取样数字量低4位DB3DB0读得相应的温度值,再由取样数字量高4位DB7DB4读得相应的温度值HB,LB与HB相加并对进位作适当处理,就能得到具体的温度值,经译码后送到显示器显示出来。进制八位取位数字量的高4位、低四位对应的温度量HB高四位温度低四位温度00000100012000130011401005010160110701118100091001A1010B1011C1100D1101E1110结论我所做的是基于VHDL的数字温度计,从确定题目我就开始准备。毕业设计完成了,达到了预期的目的

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1