ImageVerifierCode 换一换
格式:DOCX , 页数:9 ,大小:369.04KB ,
资源ID:7273089      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7273089.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字电路课程设计数字时钟.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

数字电路课程设计数字时钟.docx

1、数字电路课程设计数字时钟数字时钟技术报告概要 数字钟就是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒”的显示与调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时与校时的功能。在对整个模块进行分析与画出总体电路图

2、后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求!一、系统结构。(1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期就是24小时,最大能显示23时59分59秒,并能对时间进行调整与校对,相对于机械式的手表其更为准确。(2)系统框图。(3)系统组成。1.秒发生器:由555芯片与RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。2.校时模块:由74LS03中的4个与非门与相应的开关与电阻构成。3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到

3、秒、分、时的进分别进位。4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。5.显示模块:由7段数码管来起到显示作用,通过接受CD4511的信号。本次选用的就是共阴型的CD4511。二、各部分电路原理。1.秒发生器:555电路内部(图2-1)由运放与RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vccu11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。图2-1 内部结构图图2-2 555功能表2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图瞧得出只要有一个输入端由H到L或

4、者从L到H都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。图2-3 校时模块图图3-3 74LS03功能图3.计数器:通过74LS90来计数,由14接脚INPUT为秒脉冲信号输入端,从而使输出端到CD4511上产生10进制数,这里相互之间的74LS90的连接能相应的产生60进制与24进制数,由个位到十位就是当各位控制的74LS90输出到8、9就是Qd变为H,又从9到0就是Qd变为低,从而使十位74LS90的14接口输入由H到L进一位(图2-5与图2-7)。关于24进制与60进制的控制就是由74LS08控制的,如图2-5所示74LS08控制的就是60进

5、制数,当Qb、Qc输出高电平时,即此时数码管显示的60,74LS08的与门输出H,到74LS90的R0(1)、R0(2)从而达到清零的作用,与此同时又到下一时钟处的74LS90的14接口处而达到进位作用。同理24进制就就是把十位上的Qb与个位上的Qc与74LS08上的与非门相连而达到清零作用。图2-5图2-6Reset Inputs复位输入 输出 R0(1) R0(2) R9(1) R9(2) QD QC QB QA H H L X L L L L H H X L L L L L X X H H H L LH X L X L COUNT COUNT COUNT COUNT L X L X L

6、X X L X L L X Count 输出 QD QC QB QA 0 L L L L 1 L L L H 2 L L H L 3 L L H H 4 L H L L 5 L H L H 6 L H H L 7 L H H H 8 H L L L 9 H L L H 图2-74.显示模块:显示模块由CD4511与数码管组成。其功能表如图2-8与图2-9所示。图2-8图2-9三、装配与调试。整个装配过程分为四各阶段过程:1.秒脉冲信号模块:在焊接结束后接上正负极,瞧555上3接口处的发光二极管的就是否能亮,来判断就是否成功。2.秒显示模块:焊好秒显示模块时,接上555秒脉冲模块发现不能正常工作

7、,数码管都显示00,后来又瞧电路图,原来74LS90上的2、3接口并没有接上,原电路图就是接上74LS08后才能正常工作。后来由使74LS90上的2、3接地,就能正常工作了。3.时、分显示模块:其实这一模块与秒显示模块接线都一样的,所以焊上后用同样用555秒脉冲模块一样调试都能正常工作。4.校时模块:这也就是最后调试模块(总调试),这个过程前面调试都没有问题,接下来会有问题的话,就就是时、分、秒模块的连接问题或者就是校时电路的问题,也可能就是74LS08的连接问题,当然还可能就是芯片问题。这次调试要达到的效果就就是总的效果,走秒、走分、走时以及可以调数。还好最后没出问题,总的效果没问题。四、技

8、术总结。 本电路采用纯数字电路制作,刚开始也就是不知道怎么下手,总的制作过程分为三个过程: 1、制作前期:从图书馆借阅图书查找相应的课题,并从网上查找相应的课设与论文,最终决定选定制作数字时钟。 2、制作中期:从相应的图书与论文中选定电路图,本组三人分模块去弄,各自把各自的模块搞懂,并一定时间相应讨论进度,了解整个模块的运行原理。用Protues仿真软件进行相应的仿真(图1-1),并能成功运行运行。在仿真中对原电路图进行了一定的修改,原来的分频板块取消了(分频模块目的就是降低555脉冲的输出频率),由于可以通过改变555模块的电阻与电容,从而得到1秒脉冲,就直接就接上555秒脉冲电路。本来打算

9、用晶振来做秒脉冲的但就是觉得555报警电路以前做过觉得简单就用555秒脉冲电路,并且显示模块在实际焊接的时候数码管没有4段的,就利用电子实习时做八路抢答器时显示模块就是利用CD4511接上的,最后就采用CD4511(图4-2)。 3、制作后期:从仿真的电路图中确定元器件,去采购相应的元器件,并通过Protues软件对电路进行了相应的排版(图4-3),最后开始焊接电路,一点一点的进行调试。图4-1图4-2图4-3五、心得体会。 参加培训快一个月,最后也终于把东西做出来了,十分高兴。这次做的说实话到最后还十分没底,在上次参加了双基电子设计大赛,用Proteus做了个“智能冰箱”,当时在Proteu

10、s上可以正常运作,效果那就是非常的好,但就是最后做出来的效果,可以说完全没效果,还花了这么多时间,十分受挫。不过现在想想当时做的就是用单片机控制的,关于单片机还完全不了解,因此单片机很多细节性的东东不知道,做出来没效果也就是肯定的。当然上次比赛也就是第一次参加,也就是第一次自己设计东西,并要让它能正常工作,很多细节的都不懂,花了很多时间而达到的效果也并不大。因此总结上次的经验,这次果断十分小心,一点一点的弄好。先确定项目,在寻找相应的论文。在对着电路图与数电资料书一点一点的把原理弄懂,这样发现这样不管就是在调试的时候,还就是在焊接的时候,自然而然的就能发现问题。不管怎么说最后还就是做出来了,现在发现在这暑期培训中虽然没有老师在讲课,其实也不错。完全靠自己自学,自己解决问题,而且又有大二的学长在,不懂的又可以问,其实不知不觉的自学能力已经提高了。果然任何成果不就是一蹴而就的,而就是一点一点的积累经验走出来的,“万丈高楼平地起,树高千尺在于根深”。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1