ImageVerifierCode 换一换
格式:DOCX , 页数:73 ,大小:755.27KB ,
资源ID:725306      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/725306.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的出租车计费系统的设计毕业设计论文.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的出租车计费系统的设计毕业设计论文.docx

1、基于FPGA的出租车计费系统的设计毕业设计论文长沙学院 CHANGSHA UNIVERSITY毕业设计资料设计 题目: 基于FPGA的出租车 计费系统的设计 系部: 电子与通信工程系 专 业: 通信工程 学 生 姓 名:班 级:指导教师姓名:最终评定成绩第一部分 设计说明书一、设计说明书第二部分 外文资料翻译一、外文资料原文二、外文资料翻译第三部分 过程管理资料一、 毕业设计课题任务书二、 本科毕业设计开题报告三、 本科毕业设计中期报告四、 毕业设计指导教师评阅表五、 毕业设计评阅教师评阅表六、 毕业设计答辩评审表20 09 届本科生毕业设计资料第一部分 设计说明书(20 09 届)本科生毕业

2、设计说明书基于FPGA的出租车计费系统的设计系部: 电子与通信工程系 专 业: 通信工程 学 生 姓 名: 熊金梅 班 级: 一班 学号 2005043133 指导教师姓名: 郭小蓉 职称 副教授 最终评定成绩 2009 年 6 月 长沙学院本科生毕业设计基于FPGA的出租车计费系统的设计系 (部):电子与通信工程系专 业: 通信工程 学 号: 2005043133 学生姓名: 熊金梅 指导教师: 郭小蓉 副教授 2009 年 6 月摘 要随着EDA技术的高速发展,电子系统的设计技术和工具发生了深刻的变化,大规模可编程逻辑器件CPLD/FPGA的出现,给设计人员带来了诸多方便。利用它进行产品开

3、发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。本文介绍了一个以可编程逻辑芯片为控制核心的出租车计费器系统。简述了出租车计费器系统的组成及工作原理,以及在EDA平台上用单片CPLD器件构成该数字系统的设计思想和实现过程。论述了计程模块、计费模块、译码动态扫描模块等的设计方法。实践表明,这种方案不仅能解决传统计费器集成度不高,功能升级不方便,易受干扰的问题而且能大大增强系统的可靠性。关键词:出租车,计费系统,硬件描述语言,数字系统,FPGAABSTRACTWith the rapid development of EDA technology, electronic system de

4、sign techniques and tools have been profound changes in large-scale programmable logic device CPLD / FPGA emergence of designers to bring a lot of convenience. Use it for product development, not only low cost, short cycle, high reliability and full intellectual property rights.In this paper, a prog

5、rammable logic chip for the control of the core billing system of a taxi. Taxis on the billing system and working principle of the composition, as well as EDA platform with a single CPLD device forming part of the digital system design and realization of the process of thinking. On the meter module,

6、 billing module, decoding module, such as dynamic scanning design method. Practice shows that such programs can not only solve the traditional billing device integration is not high, the upgrade is not convenient features, and are prone to interference issues and can greatly enhance the reliability

7、of the system.Keywords:The rental car costs the system,the counter,the VHDL language,the digital system,FPGA第1章 绪论1.1 课题背景及目的在我国社会经济的全面发展过程中,各大中小城市的出租车营运事业也迅速发展,出租车已经成为人们日常出行选择较为普遍的交通工具。出租车计价器是出租车营运收费的专用智能化仪表,是出租车市场规范化 、标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。当计费系统在各大、中城

8、市出租车中使用越来越广泛,用户对计费器的要求也越来越高。以出租车多功能计费器为例,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票打印、语音报话识别、电脑串行通信及税控等功能;不同国家和地区的收费方式存在差异,即使在同一地区,不同车型的出租车其收费方式也有差别,而且出租车还面临几年一次的调价或调整收费方式等问题。传统的基于单片机设计的出租车多功能计费器已远远跟不上这种变化,功能升级很繁琐,需要硬件重组和软件更新同步进行,成本高,并且每次升级都可能出现新的不稳定因素;而基于现场可编程逻辑阵列(FPGA)的出租车多功能计费器,采用硬件描述语言VerilogHDL和逻辑综合为

9、基础的自顶向下的电路设计方法,开发成本低,周期短,可靠性高,功能升级方便,满足了用户的要求。该系统在不改变硬件电路的前提下,具有可以重构系统的功能;采用完全相同电路结构,只要根据各地区的要求在VerilogHDL程序中设置各参数,就可以适应各地区出租车不同计费标准的需要,还可以根据各地区需求增加其他功能1。1.2 国内外研究状况从国内外的各种研究方法来看,实现出租车计费系统总共有三种方案。利用大规模的数字逻辑器件来实现,利用89C51实现,利用CPLD/FPGA来实现。二十世纪后半期,数字系统得到了飞速发展,同时为了提高系统的可靠性与通用性,微处理器和专业集成电路逐渐取代了通用全硬件电路。目前

10、,业界大量可编程逻辑器件,尤其是现场可编程器件被大量地应用在集成电路的制作当中2。理想的可编程逻辑开发系统能符合大量的设计要求:它能支持不同结构的器件。在多种平台运行,提供易于使用的界面,并且有广泛的特征。可编程器件的逻辑功能描述一般分为原理图描述和硬件语言描述,原理图描述是一种直观简便的方法,它可以讲现有的小规模集成电路实现的功能直接用可编程器件来实现,而不必去将现有的电路用语言来描述,但电路图描述方法无法做到简练。而语言描述可以精确和简练地表示电路的逻辑功能,现在可编程器件的设计过程中广泛使用。常用的硬件描述语言有ABEL、VHDL语言等,其中VHDL语言是一种行为描述语言,其编程结构类似

11、于计算机中的C语言,在描述复杂逻辑设计时,非常简洁,具有很强的逻辑描述和仿真能力,是未来硬件设计语言的主流。1.3 课题研究方法在分析了相关资料的基础上,首先了解了出租车计费系统的重要性,国内外实现该系统的不同方法。在方案的选择上,对比了各个方案的优缺点,并对现有的计费系统进行了更新,使它能够更好的满足不同的要求。采用软件仿真方法对计费系统进行仿真,模拟电动机输出信号,设置初始值,得出仿真波形并进行观察。1.4 论文构成及研究内容论文包括绪论、出租车计费系统的设计、关外围电路、结论等四大部分组成。核心部分是设计说明部分和FPGA程序设计部分。本文的的基本框架为:在方案的论证和设计说明部分一章中

12、,同时介绍了总体设计框架,并对各个部分进行了详细说明。在计费系统的实现一章中,介绍了计费系统的相关外围电路,使系统更完善。第2章 设计相关工具简介2.1 EDA简介电子设计技术的核心就是EDA技术,EDA是指以计算机为工作台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除

13、了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段3。中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员开发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放 。中国华大

14、集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具有各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平还很有限,需迎头赶上。2.2 FPGA简介FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。

15、它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: (1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 (2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 (3)FPGA内部有丰富的触发器和IO引脚。 (4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 (5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 目前FPGA的品种很多,有XILINX的XC系列、TI公司的TPC系列、ALTERA公司的FIEX系列等。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1