ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:29.43KB ,
资源ID:7234358      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7234358.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA选择题.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA选择题.docx

1、EDA选择题1 在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器 B.综合器C.适配器 D.下载器2 在执行MAX+PLUS的(D)命令,可以精确分析设计电路输入与输出波形间的延时量。A .Create default symbol B. SimulatorC.Compiler D.Timing Analyzer3VHDL常用的库是(A)A. IEEE B.STDC. WORK D. PACKAGE4下面既是并行语句又是串行语句的是(C)A.变量赋值 B.信号赋值C.PROCESS语句 D.WHENELSE语句5在VHDL中,用语句(D)表示clock的下降沿。A. c

2、lockEVENT B.clockEVENT AND clock=1C. clock=0 D. clockEVENT AND clock=01 IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_A_。A .软IP B.固IP C.硬IP D.都不是2综合是EDA设计流程的关键步骤,在下面对综合的描述中,_D_是错误的。A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需

3、要对综合加以约束,称为综合约束;D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。3大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是_C_。A.FPGA是基于乘积项结构的可编程逻辑器件;B.FPGA是全称为复杂可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。4进程中的变量赋值语句,其变量更新是_A_。A.立即完成;B.按顺序完成;C.在进程的最后完成;D.都不对。5VHDL语言是一

4、种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_D_。A.器件外部特性;B.器件的综合约束;C.器件外部特性与内部功能;D.器件的内部功能。6.不完整的IF语句,其综合结果可实现_A_。A. 时序逻辑电路 B. 组合逻辑电路C. 双向电路 D. 三态控制电路7子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_B_。流水线设计 资源共享 逻辑优化 串行化 寄存器配平 关键路径法A. B. C. D. 8下列标识符中,_B_是不合法的标识符。A. State0 B. 9moon C. Not_Ac

5、k_0 D. signall9关于VHDL中的数字,请找出以下数字中最大的一个:_A_。A. 2#1111_1110#B. 8#276#C. 10#170#D16#E#E110下列EDA软件中,哪一个不具有逻辑综合功能:_B_。A.Max+Plus IIB.ModelSimC.Quartus IID.Synplify1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入_A_综合适配_B_编程下载硬件测试。P14A. 功能仿真 B. 时序仿真C. 逻辑综合 D. 配置3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实

6、现该功能块的具体电路的IP核为_A_。P25A. 软IP B. 固IPC. 硬IP D. 全对4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_D_是错误的。P15A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件。C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPL

7、D通过_A_实现其逻辑功能。P42A. 可编程乘积项逻辑 B. 查找表(LUT)C. 输入缓冲 D. 输出缓冲6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_B_。P274A. 器件外部特性 B. 器件的内部功能 C. 器件外部特性与内部功能 D. 器件的综合约束7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中_A_不属于面积优化。P238A. 流水线设计 B. 资源共享C. 逻辑优化 D. 串行化8. 进程中的信号赋值语句,其信号更新是_B_。P134A. 立即完成 B. 在进

8、程的最后完成C. 按顺序完成 D. 都不对9. 不完整的IF语句,其综合结果可实现_A_。P147A. 时序逻辑电路 B. 组合逻辑电路C. 双向电路 D. 三态控制电路10. 状态机编码方式中,其中_A_占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。P221A. 一位热码编码 B. 顺序编码C. 状态位直接输出型编码 D. 格雷码编码1IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_ D _。A .瘦IP B.固IP C.胖IP D.都不是2综合是EDA设计流程的关键步骤,在

9、下面对综合的描述中,_ D _是错误的。A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。3大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是_C_。A. FPGA全称为复杂可编程逻辑器件;B. FPGA是基于乘积项结构的可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

10、D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。4进程中的信号赋值语句,其信号更新是_C_。A.按顺序完成; B.比变量更快完成;C.在进程的最后完成; D.都不对。5 VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_ B _。A.器件外部特性;B.器件的内部功能;C.器件的综合约束;D.器件外部特性与内部功能。6不完整的IF语句,其综合结果可实现_ A _。A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路7子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);

11、指出下列哪些方法是面积优化_ B _。流水线设计资源共享逻辑优化串行化寄存器配平关键路径法A. B. C. D. 8下列标识符中,_ B _是不合法的标识符。A. State0 B. 9moon C. Not_Ack_0 D. signall9关于VHDL中的数字,请找出以下数字中最大的一个:_ A _。A. 2#1111_1110# B. 8#276#C. 10#170# D. 16#E#E110下列EDA软件中,哪一个不具有逻辑综合功能:_ B _。A. Max+Plus II B. ModelSimC.Quartus II D. Synplify1 基于VHDL设计的仿真包括有门级时序仿

12、真、行为仿真、功能仿真和前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:(D)A B. C D2 执行Quartus II的( B )命令,可以检查设计电路错误。A Create Default Symbol B Compiler-编译 C Simulator -时序仿真 D Timing Analyzer -时序分析3. 在设计输入完成后,应立即对设计文件进行(C)。 A编辑 B 编译 C 功能仿真 D时序仿真4. 在VHDL中用(C )来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。A输入 B 输出 C综合 D配置5 电子系统设计优化,主要考虑

13、提高资源利用率减少功耗(即面积优化)及提高运行速度(即速度优化),下列方法(A )不属于面积优化。A 流水线设计 B 资源共享 C 逻辑优化 D 串行化6 不完整地IF语句,其综合结果可实现() A 时序逻辑电路 B 组合逻辑电路 C 双向电路 D 三态控制电路7下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的()。A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;B.原理图输入设计方法一般是一种自底向上的设计方法;C.原理图输入设计方法无法对电路进行功能描述; D.原理图输入设计方法也可进行层次化设计。8 在VHDL语言中,下列对进程(PROCESS)

14、语句的语句结构及语法规则的描述中,不正确的是(C)A. PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号;C.进程由说明部分、结构体部分、和敏感信号三部分组成;D.当前进程中声明的变量不可用于其他进程9 IP核在EDA技术和开发中占有很重要的地位,提供VHDL硬件描述语言功能块,但不涉及实现该功能模块的具体电路的IP核为( C ) A 硬件IP B 固件IP C 软件IP D 都不是10 综合是EDA设计的关键步骤,下面对综合的描述中错误的是( ) A 综合就是把抽象设计中的一种表示转换成另一

15、种表示的过程。 B 综合就是将电路的高级语言转换成低级的,可与FPGA/CPLD相映射的功能网表文件。 C 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。 D 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。11 大规模可编程器件主要有CPLD和FPGA两类,下面对FPGA结构与工作原理描述中,正确的是(C) A FPGA全称为复杂可编程逻辑器件B FPGA是基于乘积项结构的可编程逻辑器件。 C 基于SRAM的FPGA器件,每次上电后必须进行一次配置。 D 在Altera公司生产的器件中,MAX7000系列属于FPGA结构12 大规模可编程器件主

16、要有CPLD和FPGA两类,其中CPLD通过(A)实现其逻辑功能。A 可编程乘积项逻辑; B 查找表(LUT) C 输入缓冲 D 输出缓冲13 进程中的信号赋值语句,其信号更新是(C) A 按顺序完成 B比变量更快完成 C 在进程最后完成 D 都不对14 VHDL语言是一种结构化的语言,一个设计实体(电路模块)包括实体说明与结构体两部分,结构体描述(B) A 器件的外部特性 B 器件的内部功能 C 器件的综合约束 D 器件外部特性与内部功能 1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入_A_综合适配_B_编程下载硬件测试。P14A. 功能仿真 B. 时序仿真C

17、. 逻辑综合 D. 配置3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_A_。P25A. 软IP B. 固IPC. 硬IP D. 全对4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_D_是错误的。P15A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件。C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文

18、件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_A_实现其逻辑功能。P42A. 可编程乘积项逻辑 B. 查找表(LUT)C. 输入缓冲 D. 输出缓冲6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_B_。P274A. 器件外部特性 B. 器件的内部功能 C. 器件外部特性与内部功能 D. 器件的综合约束7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中_A_不属于面积优化。P238A. 流水线设

19、计 B. 资源共享C. 逻辑优化 D. 串行化8. 进程中的信号赋值语句,其信号更新是_B_。P134A. 立即完成 B. 在进程的最后完成C. 按顺序完成 D. 都不对9. 不完整的IF语句,其综合结果可实现_A_。P147A. 时序逻辑电路 B. 组合逻辑电路C. 双向电路 D. 三态控制电路10. 状态机编码方式中,其中_A_占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。P221A. 一位热码编码 B. 顺序编码C. 状态位直接输出型编码 D. 格雷码编码下列是EDA技术应用时涉及的步骤:A. 原理图/HDL文本输入; B. 适配; C. 时序仿真;

20、D. 编程下载; E. 硬件测试; F. 综合请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:A _F_ _B_ _C_ D _E_PLD的可编程主要基于A. LUT结构 或者 B. 乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA 基于 _A_ CPLD 基于 _B_在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。对于A. FPGA B. CPLD 两类器件:一位热码 状态机编码方式 适合于 _A_ 器件;顺序编码 状态机编码方式 适合于 _B_ 器件;下列优化方法中那两种是速度优化方法:_B_、_D_A. 资源共享 B. 流水线 C.

21、 串行化 D. 关键路径优化单项选择题:综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_D_是错误的。A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。 D. 综合是纯软件的转换过程,与器件硬件结构无关;嵌套的IF语句,其综合结果可实现_D_。A. 条件相与的逻辑 B. 条件相或的逻辑C. 条件相异或的逻

22、辑 D. 三态控制电路在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。DA. idata = “00001111” B. idata = b”0000_1111”;C. idata = X”AB”; D. idata = B”21”;在VHDL语言中,下列对时钟边沿检测描述中,错误的是_D_。A. if clkevent and clk = 1 thenB. if falling_edge(clk) then C. if clkevent and clk = 0 thenD.if clkstable and not clk

23、= 1 then请指出Altera Cyclone系列中的EP1C6Q240C8这个器件是属于_C_ A. ROM B. CPLD C. FPGA D.GAL1 在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器 B.综合器C.适配器 D.下载器2 在执行MAX+PLUS的(d )命令,可以精确分析设计电路输入与输出波形间的延时量。A .Create default symbol B. SimulatorC. Compiler D.Timing Analyzer3VHDL常用的库是(A )A. IEEE B.STD C. WORK D. PACKAGE4下面既是并行语句又

24、是串行语句的是(C)A.变量赋值 B.信号赋值 C.PROCESS语句 D.WHENELSE语句5在VHDL中,用语句(D)表示clock的下降沿。A. clockEVENT B. clockEVENT AND clock=1C. clock=0 D. clockEVENT AND clock=01.一个项目的输入输出端口是定义在(A)A. 实体中 B. 结构体中 C. 任何位置 D. 进程中2. MAXPLUS2中编译VHDL源程序时要求(C)A. 文件名和实体可以不同名 B. 文件名和实体名无关C. 文件名和实体名要相同 D. 不确定。3. VHDL语言中变量定义的位置是(D)A. 实体中

25、中任何位置 B. 实体中特定位置 C. 结构体中任何位置 D. 结构体中特定位置。4.可以不必声明而直接引用的数据类型是(C)A. STD_LOGIC B. STD_LOGIC_VECTOR C. BIT D. ARRAY5. MAXPLUS2不支持的输入方式是(D)A 文本输入 B. 原理图输入 C. 波形输入 D. 矢量输入。6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是(C)A.FPGA全称为复杂可编程逻辑器件 B.FPGA是基于乘积项结构的可编程逻辑器件C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置D.在Altera公司生

26、产的器件中,MAX7000系列属FPGA结构7.下面不属于顺序语句的是(C)A.IF语句 B. LOOP语句 C. PROCESS语句 D.CASE语句8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是(A)A.器件外部特性 B.器件的内部功能 C.器件的综合约束 D.器件外部特性与内部功能9.进程中的信号赋值语句,其信号更新是(C)A.按顺序完成 B.比变量更快完成 C.在进程的最后完成 D. 都不对10. 嵌套使用IF语句,其综合结果可实现:(A)A.带优先级且条件相与的逻辑电路 B.条件相或的逻辑电路C.三态控制电路 D.双向控制电路1

27、. 一个项目的输入输出端口是定义在 A 。 A. 实体中 B. 结构体中 C. 任何位置 D. 进程体 2. 描述项目具有逻辑功能的是 B 。 A. 实体 B. 结构体 C. 配置 D. 进程 3. 关键字ARCHITECTURE定义的是 A 。A. 结构体 B. 进程 C. 实体 D. 配置 4. MAXPLUSII中编译VHDL源程序时要求 C 。A.文件名和实体可不同名 B.文件名和实体名无关 C. 文件名和实体名要相同 D. 不确定 5. 1987标准的VHDL语言对大小写是 D 。 A. 敏感的 B. 只能用小写 C. 只能用大写 D. 不敏感 6. 关于1987标准的VHDL语言中

28、,标识符描述正确的是 A 。 A. 必须以英文字母开头 B.可以使用汉字开头 C.可以使用数字开头 D.任何字符都可以 7. 关于1987标准的VHDL语言中,标识符描述正确的是 B 。 A. 下划线可以连用 B. 下划线不能连用 C. 不能使用下划线 D. 可以使用任何字符 8. 符合1987VHDL标准的标识符是 A 。 A. A_2 B. A+2 C. 2A D. 229. 符合1987VHDL标准的标识符是 A 。 A. a_2_3 B. a_2 C. 2_2_a D. 2a10. 不符合1987VHDL标准的标识符是 C 。 A. a_1_in B. a_in_2 C. 2_a D.

29、 asd_1 11. 不符合1987VHDL标准的标识符是 D 。 A. a2b2 B. a1b1 C. ad12 D. %50 12. VHDL语言中变量定义的位置是 D 。 A. 实体中中任何位置 B. 实体中特定位置 C. 结构体中任何位置 D. 结构体中特定位置 14. 变量是局部量可以写在 B 。 A. 实体中 B. 进程中 C. 线粒体 D. 种子体中 15. 变量和信号的描述正确的是 A 。 A. 变量赋值号是:= B. 信号赋值号是:= C. 变量赋值号是= D. 二者没有区别16. 变量和信号的描述正确的 B 。 A. 变量可以带出进程 B. 信号可以带出进程 C. 信号不能带出进程 D. 二者没有区别17. 关于VHD

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1