ImageVerifierCode 换一换
格式:DOCX , 页数:17 ,大小:440.98KB ,
资源ID:7140755      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7140755.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(FPGA交通灯实验报告.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

FPGA交通灯实验报告.docx

1、FPGA交通灯实验报告交通灯实验报告一, 实验目的实现两路信号灯交替亮起,并利用两组数码管分别对两路信号进行倒计时。两路信号时间分别为:V:绿灯(30S) H:红灯(35S) 黄灯(5s) 绿灯(30S) 红灯(35S) 黄灯(5S)二, 实验步骤建立工程可在欢迎界面点击“Creat a New Project”进入工程建立界面,亦可关闭欢迎界面,点击菜单栏的“File”,点击“New Project Wizard”进入建立工程界面。右侧为建立工程界面,点击next。在此界面选定工程路径,取好工程名,点击“Next”。注意:路径中不能有中文,工程名也不能有中文。一直点击“Next”进入器件设置

2、界面,DE2-70开发工具采用的Cyclone II系列的EP2C70F896C6N。点击“Finish”,完成工程建立1、 点击“File”,点击“New” 选择“Verilog HDL”2, 点击主界面工具栏中的 选择“Verilog HDL” 3、写入verilog代码。代码如下:module traffic(Clk_50M,Rst,LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V,Seg7_VH,Seg7_VL,Seg7_HH,Seg7_HL,led15);parameter S1=2b00;parameter S2=2b01;parameter S3

3、=2b10;parameter S4=2b11;input Clk_50M,Rst;output LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V;output6:0 Seg7_VH,Seg7_VL,Seg7_HH,Seg7_HL;output led15;/-div for 1Hz-start-reg Clk_1Hz;reg 31:0 Cnt_1Hz;always(posedge Clk_50M or negedge Rst)begin if(!Rst) begin Cnt_1Hz=1; Clk_1Hz=25000000) begin Cnt_1Hz=1;

4、Clk_1Hz=Clk_1Hz; end else Cnt_1Hz=30) Cnt30=1; else Cnt30=5) Cnt30=1; else Cnt30=30) Cnt30=1; else Cnt30=5) Cnt30=1; else Cnt30=30) CntV=1; else CntV=5) CntV=1; else CntV=35) CntV=1; else CntV=35) CntH=1; else CntH=30) CntH=1; else CntH=5) CntH=1; else CntH29) begin CntDis7:4=3; CntDis3:019) begin C

5、ntDis7:4=2; CntDis3:09) begin CntDis7:4=1; CntDis3:0=CntVV - 10; end else CntDis29) begin CntDiss7:4=3; CntDiss3:019) begin CntDiss7:4=2; CntDiss3:09) begin CntDiss7:4=1; CntDiss3:0=CntHH - 10; end else CntDiss=30) begin state=5) begin state=30) begin state=5) begin state=S1; end default: begin stat

6、e=S1; end endcaseendalways(posedge Clk_1Hz)begin case(state) S1: begin stateH=S1; stateV=S1; end S2: begin stateH=S1; stateV=S2; end S3: begin stateH=S2; stateV=S3; end S4: begin stateH=S3; stateV=S3; end endcaseendalways(posedge Clk_50M or negedge Rst)begin if(!Rst) begin LedR_H=0; LedG_H=0; LedY_H

7、=0; LedR_V=0; LedG_V=0; LedY_V=0; end else begin case(state) S1: begin LedR_H=1; LedG_H=0; LedY_H=0; LedR_V=0; LedG_V=1; LedY_V=0; end S2: begin LedR_H=1; LedG_H=0; LedY_H=0; LedR_V=0; LedG_V=0; LedY_V=1; end S3: begin LedR_H=0; LedG_H=1; LedY_H=0; LedR_V=1; LedG_V=0; LedY_V=0; end S4: begin LedR_H=

8、0; LedG_H=0; LedY_H=1; LedR_V=1; LedG_V=0; LedY_V=0; end default: begin LedR_H=0; LedG_H=0; LedY_H=0; LedR_V=0; LedG_V=0; LedY_V=0; end endcase endendassign led15=state;endmodule module SEG7_LUT ( oSEG,iDIG );input 3:0 iDIG;output 6:0 oSEG;reg 6:0 oSEG;always (iDIG)begin case(iDIG) 4h1: oSEG = 7b111

9、1001; / -t- 4h2: oSEG = 7b0100100; / | | 4h3: oSEG = 7b0110000; / lt rt 4h4: oSEG = 7b0011001; / | | 4h5: oSEG = 7b0010010; / -m- 4h6: oSEG = 7b0000010; / | | 4h7: oSEG = 7b1111000; / lb rb 4h8: oSEG = 7b0000000; / | | 4h9: oSEG = 7b0011000; / -b- 4ha: oSEG = 7b0001000; 4hb: oSEG = 7b0000011; 4hc: o

10、SEG = 7b1000110; 4hd: oSEG = 7b0100001; 4he: oSEG = 7b0000110; 4hf: oSEG = 7b0001110; 4h0: oSEG = 7b1000000; endcaseendendmodule编译工程保存文件,将文件放在所建工程所在路径下点击主界面工具栏中的图标也可点击菜单栏中“Processing”,点击“Start Compilation”分配关键如下:Clk_50M Input PIN_AD15 LedG_H Output PIN_AD9 LedG_V Output PIN_AJ6 LedR_H Output PIN_AJ7

11、 )LedR_V Output PIN_AJ5 )LedY_H Output PIN_AD8 LedY_V Output PIN_AK5 Rst Input PIN_AA23 Seg7_HH6 Output PIN_G1 Seg7_HH5 Output PIN_H3Seg7_HH4 Output PIN_H2 Seg7_HH3 Output PIN_H1 Seg7_HH2 Output PIN_J2 Seg7_HH1 Output PIN_J1 Seg7_HH0 Output PIN_K3 Seg7_HL6 Output PIN_E4 Seg7_HL5 Output PIN_F4 Seg7_H

12、L4 Output PIN_G4 Seg7_HL3 Output PIN_H8 Seg7_HL2 Output PIN_H7 Seg7_HL1 Output PIN_H4 Seg7_HL0 Output PIN_H6 Seg7_VH6 Output PIN_AD17 Seg7_VH5 Output PIN_AF17 7 Seg7_VH4 Output PIN_AE17 7 Seg7_VH3 Output PIN_AG16 Seg7_VH2 Output PIN_AF16 7 Seg7_VH1 Output PIN_AE16 7 Seg7_VH0 Output PIN_AG13 Seg7_VL6

13、 Output PIN_AD12 Seg7_VL5 Output PIN_AD11 Seg7_VL4 Output PIN_AF10 8 Seg7_VL3 Output PIN_AD10 Seg7_VL2 Output PIN_AH9 8 Seg7_VL1 Output PIN_AF9 8 Seg7_VL0 Output PIN_AE8 8 烧写代码在管脚配置完成后,还需将工程再编译一次,成功后,点击主界面工具栏中的亦可点击主界面菜单栏中“Tools”,点击“Programmer”进入代码烧写界面后,点击“Start”,当“Progress”为100%时,表示烧写完成,这是可观察DE2-70板现象获得预期的效果,两组的信号红黄绿灯交替切换,计数器记为零时信号灯切换状态,红灯35s,黄灯5s,绿灯30s。三, 心得体会通过本次实验初步了解了EDA技术,熟悉了FPGA开发板的开发流程,锻炼了动手能力。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1