ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:50.20KB ,
资源ID:7018473      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7018473.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(UVM实战指南第3部分.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

UVM实战指南第3部分.docx

1、UVM实战指南第3部分(*)题外话:TLM可能是UVM中最重要的概念,掌握了TLM,就可以开始尝试编写一些小程序了。翻译这篇文章,也是为了巩固加强对TLM的理解。(*)几个名词:transaction翻译为事务或者交易;packet翻译为封包,packet属于transaction;monitor翻译为监视器;driver翻译为驱动器;scoreboard翻译为记分牌;有些词汇直接被运用到UVM源代码上,所以有时候用英文更容易描述清楚。(*)语言的目的是为了交流,翻译不是为了纯粹的语言转换,而是为了传递思想。4.6 UVM中事务级建模(TLM)20多年前,设计者从门级转向RTL级。这次转换来自

2、于标准Verilog/VHDL的RTL编码风格,以及RTL综合实现工具的推出。使用RTL最大的好处是让设计者更多的专注于时序行为的设计以及功能的正确性,而很少考虑门级相关设计。TLM(事务级建模)同样在抽象级别上更进了一步,在设计和验证领域都有出现。通过TLM, 中心放在系统级别的各种事务流的建模,而更少关心时钟级别的行为。TLM在测试向量中已经使用多年。通常,在产生激励和覆盖率检查的时候使用事务而不是用时钟级别建模,这种方式就是TLM. 为了验证RTL级别的DUT(需要测试的模块),测试向量使用事务发生器(transactor)(有时也称为总线功能模型(BFM),将RTL级和事务级进行转换。

3、在UVM中,此事务发生器也被叫做驱动(driver)或者收集器(collector)。TLM中,事务通过方法调用和类对象来建模。使用事务级而不是信号级别来建模有几个显著的好处: TLM比RTL更简洁,仿真速度快。 TLM模型的抽象级别更高,更加契合验证工程师或设计工程师对内部功能的考虑,从而使得建模更简单,并且更容易被其他工程师理解。 TLM模型将不符合复用的部分移到模型之外,因此TLM很适合复用。并且,TLM使用面向对象的技术,比如继承、实现和接口分离的技术。TLM的采纳依赖于标准的TLM建模技术的出现,就像RTL综合流程的采纳归功于标准RTL编码风格的实现。幸运的是,近些年来,几个重要的标

4、准TLM应用程序接口(API)得到定义。在EDA和ESL领域,两个最重要的标准是开放SystemC计划(OSCI)的TLM1.0以及TLM2.0标准。OSCI TLM 1.0标准是一个简单通用的TLM API, 用来建模消息传递。在消息传递时,对象(事务)在组件之间传递的方式和封包在网络之间传递的方式类似。在发送封包的消息传递中,发送端和接收端之间没有共享的状态,他们之间的通讯讯息仅仅包含在消息中。The OSCI TLM 2.0标准能够用来开发SystemC中的高速虚拟平台模型。TLM2.0标准特别被用作片上存储映射的总线系统,包含许多能够进行片上总线互联的整合复用模块.OSCI TLM 1

5、.0和TLM 2.0是互相独立的标准,满足不同的需要。有人可能通过其命名方式认为TLM2.0优于TLM1.0,但是实际上并不是这样。UVM提供的TLM 类和API是基于TLM1.0标准的。这是因为TLM通用消息传递语法很好的满足了多种验证组件的事务级建模。TLM1.0也适合多种语言之间的通信建模,比如SystemVerilog, SystemC以及e语言之间的建模。UVM中TLM1.0接口甚至可以用来和SystemC中的TLM2.0模型进行通讯。这一章节阐述了UVM中TLM的几个重要概念,让读者理解如何使用TLM来构造可复用的验证组件。关于TLM各种类的更详细说明请参阅UVM参考手册。4.6.

6、1 UVM中TLM的关键概念4.6.1.1 对事务建模在UVM中, 从uvm_sequence_item继承而来的任何类都是事务。用户根据需要定义事务类的字段和方法,用来在验证环境中不同组件之间进行信息交换。例如,一个简单的包如下所示:1. classsimple_packet extends uvm_sequence_item;2. rand int src_addr;3. rand int dst_addr;4. rand byte unsigned data;5. constraint addr_constraint src_addr != dst_addr; 6. .7. endcla

7、ss事务通常包含足够多的数据字段让驱动器(driver)或者事务产生器能够产生事务的真实信号级别的动作表示。事务也可以包含更多的数据字段,来控制数据的随机产生,或者是验证环境中的其他目的。可以通过继承方式来增加更多的数据成员,方法以及约束。后续章节将会说明,如何通过继承事务,从而花费最小的代价来完成特定的验证任务。4.6.1.2 TLM调用端口(Ports)和实现端口(Exports)UVM中的TLM使用一系列特殊的方法调用来进行模型之间的事务通讯。在UVM中,一个port对象定义了一系列可以被调用的方法,而export对象提供了对这些方法的实现。在构建验证环境的时候,port和export通

8、过connect()函数进行连接,之后,调用port端的TLM方法将会执行export中对此TLM方法的实现。实例4.7: 使用put方法将事务从生产者传递给消费者在UVM的TLM中,put接口能够被用来将transaction从生产者发送给消费者。一个简单的生产者示例如下:classproducer extends uvm_component;uvm_blocking_put_port#(simple_packet) put_port;functionnew(string name, uvm_component parent); put_port = new(put_port, this);

9、endfunctionvirtualtaskrun(); simple_packet p = new(); . put_port.put(p);endtaskendclass之前有提到,put port通过调用connect()函数连接到put export. 对上面的put方法的实现将由消费者组件来完成,如下:class consumer extends uvm_component; uvm_blocking_put_imp #(simple_packet, consumer) put_export; taskput(simple_packet p); / consume the packe

10、t endtaskendclass将port连接到export之后,调用生产者的put方法将会触发消费者的put方法实现得到执行,从而使得simple_packet对象从生产者传递到了消费者。TLM也引入了标准的图形化示意来描述不同类型的通讯。put通讯流程的模块图如下:图4-2:简单的生产者/消费者的put通讯TLM接口定义了一些生产者和消费者都必须遵循的简单规则,在这个示例中,对于put接口,规则如下: put方法的实现在执行时有可能阻塞,因此对put方法调用的对象必须负责确保在put方法阻塞的时候能够正常工作。 生产者负责创建封包,而消费者不能修改封包(如果需要修改,必须先拷贝一份新的)

11、满足了上述规则,能够很容易的将生产者或者消费者替换成其他的模型,只要这些模型满足相同的TLM接口即可。TLM API提供了一个简单的能够互相操作的接口协议,类似硬件世界中的USB,以太网标准一样。由于能够容易的替换模型,UVM的TLM在满足模型复用和验证目标上发挥了关键性的作用,我们可以在后续章节进一步了解。上述示例,在生产者中存在单独一个进程,当调用put方法时,控制流转到消费者中的put方法中。put方法将事务沿着方法调用控制流相同的方向进行传送。在某些情况,由于消费者中包含一个需要事务数据的进程,希望将事务沿着TLM方法调用控制流相反的方向传送。在这种情形下,生产者/消费者将使用get接

12、口来实现,示例如下:1. class producer_2 extends uvm_component;2. uvm_blocking_get_imp#(simple_packet, producer_2) get_export;3. taskget(output simple_packet p);4. simple_packet p_temp = new();5. .6. p = p_temp;7. endtask8. endclass9. class consumer_2 extends uvm_component;10. uvm_blocking_get_port#(simple_pac

13、ket) get_port;11. function new(string name, uvm_component parent);12. get_port = new(get_port, this);13. endfunction14. virtual task run();15. simple_packet p;16. .17. get_port.get(p);18. endtask19. endclass在上面的put接口示例中,UVM对使用put接口的生产者和消费者设定了如下规则: get方法实现可能被阻塞。因此调用方必须确保当此方法阻塞的时候也能够正确工作。 get方法的实现必须创建

14、并返回一个事务对象给get的调用方。get接口通讯的图形化示意如下: 图4-3:消费者调用生产者中的get方法4.6.1.3 连接port和export上面例子中,port对export的连接是通过调用connect方法完成的。用户需要在消费者/生产者的父组件中的connect回调函数仿真阶段函数connect()中调用此connect方法:class parent_comp extends uvm_component;producer producer_inst;consumer consumer_inst; . virtual function void connect(); produc

15、er_inst.put_port.connect(consumer_inst.put_export); endfunctionendclass连接port和export的通用准则是:子组件中port的connect方法以子组件export作为参数进行调用.4.6.1.4 port和port的连接以及export和export的连接Verilog RTL中,模块的端口(port)代表信号级别的界面。Verilog RTL模块的内部也可以包含子模块,子模块也有各自的信号端口。然而,只有父模块的端口代表整个模块的接口,子模块的接口被当作实现细节而被隐藏。同样的,UVM的TLM中,组件的port和ex

16、port代表了组件的TLM的对外接口。其子组件以及子组件的port和export被看作是实现细节而被隐藏。此种隐藏内部结构的方式加强了整个验证环境的模块化,能够更加容易的复用以及被替换。但是,如果当需要子组件的port/export能够被外部看到的时候,该如何处理呢?这种情况下,需要通过将子组件的port连接到父组件的port上,将子组件的export连接到父组件的export上。实例4-8:连接子组件的port到父组件的portclass parent_producer extends uvm_component; uvm_blocking_put_port #(simple_packet)

17、 put_port; producer child_producer_inst; function new(string name, uvm_component parent); put_port = new(put_port, this); child_producer_inst = new(child_producer_inst, this); endfunction virtual function void connect(); child_producer_inst.put_port.connect(put_port); endfunctionendclass通用的规则是:当连接子组

18、件的port到父组件的时候,子组件port的connect函数被调用,其调用参数是父组件的port.实例4-9:连接子组件的export到父组件的exportclass parent_consumer extends uvm_component; uvm_blocking_put_export #(simple_packet) put_export; consumer child_consumer_inst; function new(string name, uvm_component parent); put_export = new(put_export, this); child_co

19、nsumer_inst = new(child_consumer_inst, this);endfunction virtual function void connect(); put_export.connect(child_consumer_inst.put_export); endfunctionendclass通用的规则是:当连接子组件的export到父组件的export时,父组件export的connect函数被调用,其调用参数是子组件的export. 请注意此方式和上述的子组件port和父组件port的连接方式不同。4.6.1.5 使用uvm_tlm_fifo在最前面的生产者/消

20、费者示例1中,在生产者中有一个进程,而消费者中没有任何进程。消费者中的put方法在生产者的put方法被调用的时候执行。接下来的生产者/消费者的示例2中,消费者中有一个进程,消费者有一个get port用来获得封包。(生产者中没有进程)我们有可能会遇到这种情况:需要将示例1中的生产者组件和示例2中的消费者组件相连接。如何做到这两个组件相连接呢?一个非常常用的方法是使用UVM的uvm_tlm_fifo来完成。 uvm_tlm_fifo是一个参数化的FIFO(先进先出队列),此FIFO同时拥有put export和get export. uvm_tlm_fifo实例化的参数就是需要在此FIFO中存储

21、的数据对象类型。其构造函数的参数代表了此FIFO的最大深度(缺省值是1).实例4-10:uvm_tlm_fifo的使用class producer_consumer_2 extends uvm_component; producer producer_inst; consumer_2 consumer2_inst;uvm_tlm_fifo#(simple_packet) fifo_inst; / fifo stores simple_packetsfunction new(string name, uvm_component parent); producer_inst = new(produ

22、cer_inst, this); consumer2_inst = new(consumer2_inst, this); fifo_inst = new(fifo_inst, this, 16); / set fifo depth to 16 endfunction virtual function void connect(); producer_inst.put_port.connect(fifo_inst.put_export); consumer2_inst.get_port.connect(fifo_inst.get_export); endfunctionendclass运行此模块

23、时,生产者组件中的进程创建封包,同时将封包放入FIFO, 消费者组件在调用get方法的时候取出封包。由于FIFO的使用,这两个进程的同步耦合关系被分开。每个进程的执行都可以任意延时,使用FIFO以及阻塞性put/get调用可以确保没有任何封包丢失。许多验证环境建模时,对延时不敏感的特性以及确保事务(封包)能够完好不丢失传送的特性是非常需要的。UVM的TLM使得对此类系统建模非常容易。FIFO连接的图形化示意如下:图4-4:使用uvm_tlm_fifo4.6.1.6 分析port和分析export(analysis port/analysis export)到目前为止,put/get port要

24、求在仿真开始之前必须有且只有一个export与之相连接。如果port没有连接,UVM会报告错误信息,要求你将之相连。有时候,我们需要构建类似监控器(monitor)的组件,需要将一个port要么不连接,要么连接到一个或者多个组件上。这是因为监控器一般在整个验证环境中是被动组件,他们不会影响仿真激励的产生,也不会影响DUT(被测试模块)的同步关系。监控器只是被动的收集事务数据,并将之发送给其他已经注册的需要此数据的组件。分析port因此应运而生。分析port和其他TLM port类似,但是允许将其空接,也允许连接任意多个分析export。 图4-5:分析端口通讯对那些熟悉回调(callback)

25、的人来说,分析port本质上就是结构化的回调函数(使用port连接的回调)每个分析port有一个void类型的write()函数,其参数是一个transaction(事务)。每个分析port将维护一个与之相连接的分析export列表。当write方法以某个transaction作为参数被调用的时候,分析port将使用相同的transaction参数调用每个与之相连的分析port中的write函数。因为write方法是一个函数(function),所以分析port的write函数将不会被阻塞,直接返回。另外,由于此write方法是一个void类型,在write函数返回后将不会传递任何状态给组件。

26、对包含分析port的组件的整体影响来说,可以不必去知道和关心与此分析port相连接的任何组件。实例4-11:使用分析port的监控器(Monitor)class packet_monitor extends uvm_component;uvm_analysis_port#(simple_packet) analysis_port; function new(string name, uvm_component parent); analysis_port = new(analysis_port, this); endfunction virtual task run(); simple_pac

27、ket p = new(); . / reassemble packet here from lower level protocol analysis_port.write(p); / write the collected packet to the analysis port endtaskendclass实例4-12:使用分析export的组件class packet_checker extends uvm_component;uvm_analysis_imp#(simple_packet, packet_checker) analysis_export; function new(s

28、tring name, uvm_component parent); analysis_export = new(analysis_export, this); endfunction function voidwrite(simple_packet p); / check the packet here endfunctionendclass这两个组件可以在父组件中被创建,然后使用UVM通用的TLM连接规则将分析port和分析exort相连接。上面提到,既然分析port允许多个分析export与之相连,可以例化多个具有分析export的组件,将他们连接到packet_monitor组件的分析

29、port上。有时候,通过分析port传送的交易不能够马上被与之相连的下游组件处理,这些交易需要存储一段时间之后才能够被消耗处理掉。比如,当记分牌组件需要将DUT产生的真实封包和参考模型产生的封包进行比较的情形。在这种情况下,由于DUT需要延时,从参考模型产生的封包需要存储下来。uvm_tlm_fifo能够在需要的时候存储封包,似乎可以很好的解决上述问题。然而,uvm_tlm_fifo并没有一个分析export,所以不能直接将它连接到分析port上去。一个重要的原因是:在分析export中对write方法的实现要求传递transaction之后马上返回,但是如果FIFO是有限的固定深度,就不是总

30、能够满足这一点。UVM使用uvm_tlm_analysis_fifo来解决此问题。uvm_tlm_analysis_port拥有一个分析export,因此可以直接和分析port相连,并且它的FIFO具有无限深度,所以write方法调用可以立即成功返回。4.6.1.7 uvm_*_imp_decl宏有些情形,组件需要对相同的接口拥有多种实现。例如一个记分牌类需要对多个接口(比如两个input和一个output)进行监测。在这种情况下,必须提供处理多个接口的方法。有3种潜在的解决方案: 为每个特定的接口创建一个组件来实现. 如果每个接口的交易类型相同,可以使用一个实现;这个需要交易对象提供一个可以区分其来源的机制. 对每个port创建_imp类型,每个_imp类型调用不同的功能实现函数.在UVM中,由于使用了uvm_*_imp_decl宏,使得第3种方式最简单。这些宏用来创建新的实现类型,用来转到不同的实现函数。比如,使用uvm_analysis_imp_decl(_1),将会得到一个uvm_analysis_imp_1 #(type T)的类实现,此类中实现了对函数write_1的实现。小技巧:uvm_*_imp_decl宏的使用准则

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1