ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:122.86KB ,
资源ID:6941002      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6941002.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(可编程电子音乐自动演奏电路设计.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

可编程电子音乐自动演奏电路设计.docx

1、可编程电子音乐自动演奏电路设计第1章 技术指标1.1 系统功能要求可编程电子音乐演奏电路可以通过开关选择预先设定好的音乐曲目,曲目选定后则自动演奏所选曲目。1.2 系统结构要求可编程电子音乐自动演奏电路的系统结构要求如图1-1所示。图中K1用于选择预先设置在电路中的乐曲,选中某一乐曲后对应的发光二极管亮,音乐演奏电路反复自动演奏所选的乐曲,经功率放大后由喇叭播出,直至选中下一首为止。1.3 基本指标1.3.1 乐曲要求(a)乐曲数目3首。(b)每首乐曲长度20s30s。(c)所选择的乐曲应在4个8度内,以第6个8度作为最高的8度。(d)乐曲演奏速度为100拍/min120拍/min.1.3.2

2、 演奏要求第1页(a)用1个自复键K1选择所需的曲目,用3个LED表示选中对应曲目,当3个LED都不亮时,表示没有选中,电路没有乐曲输出。(b)一旦选中某一首乐曲,电路将自动循环放送所选的乐曲。1.3.3 电气指标(a)音频功放输入为方波。(b)音阶频率误差E5生。(c)负载(喇叭)阻抗为8,功率为1/8W。(d)输出音量可调。1.4 设计条件1.4.1 电源电压为5V。1.4.2 电路设计,不允许采用试凑法,必须采用系统设计方法画出ASM图,并根据ASM图设计控制电路。1.4.3可供选择的元器件范围如表1-1所示。序号元件型号数量序号元件型号数量11/8W喇叭1只1174LS1612片2LE

3、D发光二极管3只1274LS1632片310K电位器1个1374LS3931片474LS001片1474LS1741片574LS041片15GAL16V81片674LS201片1628C64B1片774LS742片17LM5552片874LS1321片18单刀单位自复开关1片974LS1381片19LM3861片1074LS1531片表 1-1第2页 第2章 整体方案设计2.1 设计分析电子乐器模仿各种乐器的基本原理是:先将某种乐器的声音转换为电信号,再分析该乐器的电信号的波形和频谱,最后利用电子技术产生与该乐器相仿的电信号。仅从电子乐器发出的信号电特性来看,其表现力主要体现在4个方面:音高(

4、基本频率),长短,强弱(信号的电压幅度或输出功率)和音色(信号的波形和频谱)。由于本课程设计所研究的电子乐器在演奏时,它所产生的信号是方波,其波形是占空比为50%的脉冲波,频谱中仅含有基频和其奇次谐波。所以,再设计本课程设计时,在保证输出信号是方波的前提之下,主要考虑如何用电子电路控制音高(频率),长短(音的时值)和强弱(信号幅度)这3个电子乐器的基本特性。2.1.1乐器的标准频率乐器的整个音域范围分成108个音高,相邻两个音高的频率比为1.0595,如果两个信号的频率值相差1倍,则称这两个音相差8度。将108个音高分为9组8度音程,每个8度音程里含有12个音调(C,#C,D,#D,E,F,B

5、),如表2-1所示,相邻之间的音调差被称为半音。表中“#”号为半音符号。国际上将440Hz作为标准音高。8度编号音 调C#CD#DEF#FG#GA#AB016.35117.32418.35419.44520.60121.82723.12424.49925.95627.529.13530.867132.70334.64836.70838.89141.20343.65446.24948.99951.9135558.2761.735265.40669.29673.41677.78282.40787.30792.49997.999103.83110116.54123.473130.81138.5914

6、6.83155.56164.81174.61184.99195.99207.65220233.08246.944261.62277.18293.67311.13329.63349.23369.99391.99415.31440466.16493.885523.25554.36587.33622.25659326698.46739.99783.99830.61880932.32987.7661046.51108.71174.71244.51318.51396.91479.91567.91661.217601864.71975.5720932217.52349.3248926372739.8295

7、9.93136.93322.435203729.33951.1841864434.94698.6497852745587.75919.96271.96644.970407458.67902.1表 2-1第3页2.1.2音名和唱名音名和唱名之间的对应关系如下表2-2所示。表 2-2音名的音高是固定不变的,一切乐器和人声发出的C音,D音等,其音高相同。唱名的高度因调号的不同而异。例如,对于调号1=C来说,把标准的1(do)唱成和C4音一样高,把2(re)唱成和D4音一样高,把3(mi)唱成和E音一样高。其中,音名的E-F,B-C第4页是半音,其余为全音。唱名的3-4,7-1是半音,其余为全音。2.

8、1.3 音的长短简朴中用短横线表示音的长短,不带短横线的基本音符为四分音符,如1。短横线在基本音符右侧时称为增时线,每增加一个增时线表示延长一个4分音符如1-。短横线在基本音符下面时称为减时线,每增加一条减时线表示原来的音缩短一半的时间。另外,还可以用附点表示音的长短,附点表示延长其前面音值的一半。2.2 整体方案2.2.1 整体电路结构根据图1-1所示,将整体电路分为“选取电路”,“音乐演奏电路”和“功率放大”3个主要部分。2.2.2 音阶信号产生方案在设计电路之前,应选择3首乐曲,乐曲的音域应尽量符合指标要求,在4个8度内。根据一个8度组内有12个音阶的特点,相邻两个8度组同名音名为8度关

9、系,可以采用图2-1或图2-2来产生12个音阶和8度变化,使输出信号f为唱名所对应的频率值。第5页(1)振荡电路振荡电路应能产生一个时钟信号CP。选择CP的频率时,应考虑经过12音阶分频电路和8度分频电路后,输出信号频率f应满足要求。考虑到乐曲的音域应在4个8度内,即乐曲的所有音域在第3,4,5,和6个8度内,故取第7个8度内的最低频率2093Hz,再乘以256(两级74161级联后的计数器模值),这样就得到了应该产生的振荡器的频率535808Hz。(2)12音节分频电路音阶分频电路应能在分频控制电路的控制下,产生12个音阶频率中的一个。当CP信号确定后,应考虑寻求能够比较方便地控制分频比的分

10、频方法。分频方法不同,分频控制电路的结构不同。(3)8度分频电路2个8度信号就是2分频的关系,4个8度信号就是4个有2分频关系的信号。设计这一点路试,应考虑用何种方式可以使分频电路和8度分频的控制电路最为简便。第6页(4)控制电路数字系统,按其定义可分为控制器和数据处理器两部分,图2和图3中控制器已标出,其余的均为数据处理电路。演奏器的控制电路的逻辑操作可参考图2-3所示的逻辑流程图。它的逻辑流程可概括为:开机启动后进入“等待”状态,此状态下不演奏乐曲;如果此时有乐曲信号,则根据选取信号的编码得到乐曲在EEPROM中的首地址;得到某一乐曲首地址后,进入演奏状态,每奏出一个最小时值单位的乐符判断

11、一次是否有演奏结束信号;若未结束,则ROM地址加1,从下一个字节中取出分频控制信号;若从ROM取出的信号有结束标志,则返回“等待”状态。如果此时选取信号未取消,则按上述逻辑操作进行操作。此数字系统的时钟,若与乐曲中最小的时值相同,则可以使电路简化。第7页设计分频控制信号应考虑如下因素:(a) 首先分析乐曲中最短的音符,以该音符的长度作为演奏过程中最小的时间单位Tmin,其它音的音值长度都是最小时间单位的整数倍。(b) 分频控制电路应能产生一个可控制12音阶分频电路作相应的音频的音阶控制码,同时还应能产生一个可控制8度分频电路产生相应的8度分频的8度控制码。(c) 将一首乐曲每一个音的两个控制码

12、存在EEPROM中,按乐曲演奏的顺序逐个取出,从而得到演奏过程中的信号。(d) 演奏的乐曲中如果有休止符,此时没有输出信号,在设计控制码时,应考虑休止符的产生。(e) 为了使乐曲能够自动循环演奏,应考虑识别某一曲目的结尾以及如何控制电路返回到该乐曲的开始点。2.2.3 音频功率放大器设计音频功率放大器时,应考虑负载(喇叭)的额定功率,一是功率放大器输出应能够驱动负载,二是输出功率不能大于负载(喇叭)的额定功率,否则就将烧坏负载(喇叭)。2.3 整体方框图整体方框图如图2-4所示:第8页 第3章 单元电路设计3.1 节拍发生器的设计用555定时器来实现8Hz的节拍发生器,如图3-1所示。基本指标

13、中要求乐曲的演奏速度为100120拍/min,,现取演奏速度为120拍/min。如果乐曲中的音全为4分音符,则节拍发生器产生的频率应为f=120拍/1 min=120拍/ 60 s =2Hz,但考虑到一首乐曲中最短的音为16分音符,故f=120拍/60s *4=8Hz。由于图3-1产生的频率为f=1/T=1.43/(RA+2RB)C, 故取C=47uF,RB=lK, RA=1.8K。3.2 消抖开关设计采用D触发器来设计消抖动电路,如图3-2所示:第9页图中电阻R的值取1K。3.3 地址计数器的设计采用74393来构成M=256的地址计数器,如图3-3所示。第10页图3-3中CR的具体接法见方

14、框图3-5 曲尾识别电路设计。3.4 选曲电路和曲目显示电路设计图3-4中用74163构成2位二进制计数器,由于地址计数器电路3-3中已经占用了28C64的A0A7地址端,故只能用A9和A8两个地址端来选择乐曲。A9A8A7A6A5A4A3A2A1A016进制数010000000010010000000002001100000000300表 3-1由表3-1可见,第一首歌曲在存储器中的地址应从16进制的100开始,第二首歌曲在存储器中的地址应从16进制的200开始,第第11页三首歌曲在存储器中的地址应从16进制的300开始。3.5 存储器中8度音选择信号,12音阶预置数的设计,曲尾识别设计和歌曲编码

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1