ImageVerifierCode 换一换
格式:DOCX , 页数:37 ,大小:3.06MB ,
资源ID:6881940      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6881940.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA总结知识点.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA总结知识点.docx

1、EDA总结知识点EDA总结知识点:第一章1.1.1EDA的定义: 是电子设计自动化(Electrion Design Automation)的缩写,是90年代初,从计算机计算机辅助设计CAD,计算机辅助制造CAM,计算机辅助测试CAT和计算机辅助工程(CAE)的概念发展起来的。狭义EDA和广义EDA,本书我们主要研究的是狭义的EDA。狭义EDA:以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化

2、、逻辑布局布线、逻辑仿真等等一系列的工作,最终形成集成电子系统或专用集成芯片的新技术。也称为:IES/ASIC自动设计技术。广义的EDA:包括狭义的EDA,还包括计算机辅助分析CAA技术(PSPICE, EWB,MATLAB),印刷电路版计算机辅助设计pcb-cad技术(例如:protel,orcad),因为广义的EDA技术中,CAA技术和pcb-cad技术不具备逻辑综合和逻辑适配等功能,因此我们不能称之为真正意义上的EDA技术,称为现代电子设计技术更好。利用EDA技术进行电子系统的设计,具有以下特点:(1)用软件的方式设计硬件(2)用软件方式设计的系统到硬件系统的转换是由有关的开发软件完成(

3、3)设计过程中可用有关软件进行各种仿真;(4)系统可现场编程,在线升级;(5)整个系统可集成在一个芯片上,体积小、功耗低、可靠性高;EDA技术进入21世纪后得到了更大的发展,主要表现在:1)使得电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;2)在仿真和设计两个方面支持标准语言的功能强大的EDA软件不断推出;3)电子技术领域全方位融入EDA领域,例如:软件无线电的迅速崛起,模拟电路系统硬件描述语言的表达和设计的标准化,系统可编程模拟器件的出现等4)电子领域各学科的界限更加模糊,互为包容:模拟与数字,软件与硬件、系统与器件、ASIC与FPGA、行为与结构等。5)更大规模的FPGA/C

4、PLD器件的不断推出;6)用于ASIC设计的标准单元的推出,该标准单元已涵盖大规模电子系统以及复杂IP模块(硬件设计包)。7)软硬件IP核在电子行业的产业领域得到进一步的确认。8)soc高效低成本设计技术的成熟;9)系统级行为验证硬件描述语言的出现,使得复杂电子系统的设计和验证变简单。10)在FPGA上实现DSP的应用;11)嵌入式处理器软核的成熟;1、3 硬件描述语言:主要包括:VHDLVerilog HDLSystem VerilogSystem CVHDl语言具有很强的电路描述和建模能力VHDl语言具有与具体硬件电路无关和与设计平台无关的优点主要的描述设计方法:1)自顶向下2)自底向上3

5、)混合方法。综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库的信息,以及获得优化综合的约束条件。主要的约束条件有:设计规则时间约束面积约束通常我们要求时间约束的优先级高于面积约束。本图可能出简答题:传统的电子设计方法主要采用手工设计,主要缺点:1)复杂电路的设计调试困难2)查找和修改错误不便3)不易管理设计过程中的大量文档4)设计实现过程与具体的生产工艺直接相关,可移植性差;5)只有设计出样机和生产出芯片才可以实测EDA技术的优点:1)采用硬件描述语言作为设计输入,具有保证设计过程中的正确性,可以降低设计成本,缩短设计周期。2)库的引入3)设计文档的管理

6、4)强大的系统建模、电路仿真功能。5)具有自主知识产权6)开发技术的标准化6)开发技术的标准化,规范化和IP核的可重用性。设计语言的标准化,不会由于设计对象的不同而改变;EDA软件平台支持任何标准化的设计语言;设计成果的通用性,良好的可移植性和可测性。7)适用于高效率大规模系统设计的自顶向下设计方案8)全方位利用计算机自动设计仿真和测试9)对于设计者的硬件知识和硬件经验要求较低10)与以CPU为主的电路系统相比较,EDA技术具有更好的高速性能。11)纯硬件系统的高可靠性1.7 EDA设计流程 图形输入通常包括原理图输入、状态图输入和波形图输入三种常用方法。适配所选定的目标器件(FPGA/CPL

7、D芯片)必须属于原综合器指定的目标器件系列。通常,EDA软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由PPGA/CPLD供应商提供。因为适配器的适配对象直接与器件的结构细节相对应。时序仿真的仿真文件必须来自针对具体器件的综合器与适配器。功能仿真过程不涉及任何具体器件的硬件特性FPGA与CPLD的辨别和分类主要是根据其结构特点和工作原理。通常的分类方法是:(1)以乘积项结构方式构成逻辑行为的器件称为CPLD,如LATTICE ISPLSI的系列、XILINX的XC9500系列、ALTERA的MAX7000S系列和LATTICE的MACH系列等。以查表法结构方式构成逻辑行为器件称为F

8、PGA,XILINX的SPARTAN、ALTERA的FLEX10K、ACEXIK或CYCLONE系列等。EDA工具大致可以分为如下五个模块:设计输入编辑器。HDL综合器。仿真器。适配器(或布局布线器)。下载器。IP:知识产权核或者知识产权模块。IP分为软IP,固IP和硬IP软IP-用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。固IP-完成了综合的功能块。硬IP-供设计的最终阶段产品-掩膜第二章可编程逻辑器件(PLD-programmable Logic devices) :是一种由用户编程以实现某种逻辑功能的新型逻辑器件。PLD经历了从PROM,PLA,PA

9、L,GAL,FPGA,ispLSI的过程。Xilinx公司1985年推出了第一块现场可编程门阵列器件,最初3个完整的系列产品被命名为XC2000,XC3000和XC4000 XC5000,XC6200和XC8100,而后又推出了spartan和virture系列。Xilinx还有3种EPLD:XC7200,XC7300和XC9500Lattice成立于1983年,为EEPROM的创立者,发明了GAL器件,是低密度PLD的最大供应商。20世纪90年代推出了ispLSI器件,实现了在系统可编程技术(ISP),有6个系列:ispLSI1000、2000、3000、5000、6000和8000系列Xi

10、linx:SRAM工艺叫FPGA; FLASH工艺叫CPLD; ALTERA: MAX(基于EEROM), FLEX/APEX/ACEX /STRATIX 系列 (基于SRAM)均叫PLD。Sram:大部分FPGA器件都采用此种编程工艺。该编程方式在编程速度快,编程要求上优越,但是编程信息存在RAM中后,掉电后信息丢失,再次上电需要再次配置,因而需要专门的器件来完成配置工作。GAL,PLA,PAL,PMROM区别:PROM只能用于组合逻辑电路的可编程,;PLA的与或阵列均可编程,因此使得器件的运行速度下降;可编程PAL器件,主要区别是或阵列固定,只有与阵列可编程;GAL的输出部分增加了输出逻辑

11、宏单元OLMC。.逻辑阵列块LAB:一个LAB由16个宏单元组成。Max7000结构主要是由多个LAB组成的阵列以及它们之间的连线构成。多个LAB通过可编程连线阵PIA和全局信号连接在一起,全局总线从所有的专用输入、I/O引脚和宏单元馈入信号。CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。FPGA(FieldProgrammable Gate Array),即现场可编程门阵列它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集

12、成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。以下是了解内容:CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程;FPGA可在逻辑门下编程,而CPLD是在逻辑块下编程。FP

13、GA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或FASTFLASH技术,无需外部存储器芯片,使用简单。而FPGA的编程信息需存放在外部存储器上,使用方法复杂。CPLD的速度比FPGA快,并且具有较大的时间可预测性。这是由于FPGA是门级编程,并且CLB之间采用分布式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联是集总式的。在编程方式上,CPLD主要是基于E2PROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。CPLD又可分为在编程器上编程和在系统编程两类。FPGA大部分是基于S

14、RAM编程,编程信息在系统断电时丢失,每次上电时,需从器件外部将编程数据重新写入SRAM中。其优点是可以编程任意次,可在工作中快速编程,从而实现板级和系统级的动态配置。CPLD保密性好,FPGA保密性差。一般情况下,CPLD的功耗要比FPGA大,且集成度越高越明显。FPGA,使用了另一种可编程逻辑的形成方法,即可编程的查找表(Look Up Table,LUT)结构, LUT是可编程的最小逻辑构成单元。大部分FPGA采用基于SRAM(静态随机存储器)的查找表逻辑形成结构,就是用SRAM来构成逻辑函数发生器。JTAG:JTAG是英文“Joint Test Action Group(联合测试行为组

15、织)”的词头字母的简写,该组织成立于1985 年,是由几家主要的电子制造商发起制订的PCB 和IC 测试标准。JTAG 主要应用于:电路的边界扫描测试和可编程芯片的在线系统编程。Lattice公司CPLD器件系列ispLSI器件系列ispLSI1000E系列pLSI2000E/2000VL/200VE系列ispLSI5000V系列ispLSI 8000/8000V系列. Xilinx公司的FPGA和CPLD器件系列Virtex-4系列FPGA.面向逻辑密集的设计:Virtex-4 LX面向高性能信号处理应用:Virtex-4 SX面向高速串行连接和嵌入式处理应用:Virtex-4 FX,XC9

16、500系列CPLD. Xilinx FPGA配置器件SPROM,Spartan器件系列Altera公司FPGA和CPLD器件系列1. Stratix II 系列FPGA 2. Stratix系列FPGA 3. ACEX系列FPGA 4. FLEX系列FPGA 5. MAX系列CPLD 6. Cyclone系列FPGA低成本FPGA 7. Cyclone II系列FPGA8. Altera宏功能块及IP核上年考试内容:第三章:器件特点与选择Stratix高端FPGA系列Cyclone系列器件的结构与原理补充知识:1、DPA电路:在FPGA中,动态相位调整(DPA)主要是实现LVDS接口接收时对时

17、钟和数据通道的相位补偿,以达到正确接收的目的。ALTERA的高端FPGA,如STRATIX(r) 系列中自带有DPA电路,但低端的FPGA,如CYCLONE(r)系列中是没有的。在LVDS输入接收时,时钟和数据的相位可能是不确定的,因此我们需要将时钟的相位作出调整,使得时钟能稳定的采集到输入数据。工作的核心就是用锁相环PLL的相位调整功能,产生若干个时钟的不同相位,看哪些相位能准确的采集到输入数据,然后取窗口中间的一个时钟相位,作为正常工作时的采样时钟。比如通过PLL产生0,45,90,135,315度8个相移的时钟,如果0,45,90度相移的时钟能正确采样到输入,那么最后选取中间相位,即45

18、度的时钟作为采样时钟。这样接口上具有最大的时序裕量,从而保证链路的可靠性。2、HT技术: HyperTransport是AMD在1999年提出的一种总线技术,随着AMD64位平台的发布和推广,HyperTransport应用越来越广泛,也越来越被人们所熟知。3、电平TTL与LVDS的区别:(1). TTL是单端电平标准;LVDS是差分电平标准;(2). TTL接口受到其摆幅、功耗的限制,应用场合是速度较低,很少见到TTL有应用于200MHz以上的场景;LVDS摆幅小,信号跳变快,恒流源式结构决定其功耗随操作频率变化不明显,且差分信号的噪声容限更大,因此LVDS更适合于高速应用(1.5GHz甚至

19、更高); 4、HSTL电平 HSTL(High Speed Transceiver Logic)是由JEDEC(Joint Electron Device Engineering Council,属于电子工业协会EIA)在1995年正式制定的一种电路逻辑标准。常用的电平标准有TTL:5v、CMOS:5V、LVTTL:3,3V和2,5V、LVCMOS:3,3V、ECL:0V、PECL:5V、LVPECL:3,3V、RS23212-15V、RS485等,还有一些速度比较高的LVDS、GTL:1,2V、PGTL:1,5V、CML是内部做好匹配的一种电路,不需再进行匹配。、HSTL、SSTL等。存储单

20、元的介绍(1)RLDRAMII( 缩短读潜伏时间的动态随机存取器)()(第二代双倍率同步动态随机存取器)()II SRAM 四位数据率静态随机存取存储器CPLD编程下载连接图第七章LPM:7位地址位宽深度为128(2的7次方),当数据位宽为8时指定单元的取值范围为128*8(=1024个单元)。第三章VHDL设计初步1、标识符(mux21a,one,a,b,)和关键字(IN,ELSE,END)不区分大小写。2、调用底层文件必须放在同一个文件夹中。U:未初始化;X:强未知;Z:高阻态;W:弱未知;L:弱逻辑0;H:弱逻辑1;-:忽略选择的条件值不能完全覆盖case语句中的表达式的值,最后最末一个

21、条件语句用关键词others来表示,otehrs只能出现一次,必须在最后;使用others避免综合器插入不必要的锁存器;并置操作符&主要是将操作数或者是数组组合起来形成新的数组。例如”AB”&”CD”就表示“ABCD”;0&1&1的结果是“011”因此我们可以利用&来组成新的数组,可以将一个单元素并置于一个数的左端或者右端形成更长的数组;或者将两个数组并置成一个新的数组;完整的条件语句只能构成组合逻辑电路;利用不完整的条件语句的描述引进寄存器元件,从而构成时序电路的方式是vhdl描述时序电路最重要的途径。4位二进制加法计数器设计异步复位同步加载及时钟使能十进制加法计数器:第五章 VHDL设计进

22、阶变量的赋值符号是:= 注意在进程和子程序的顺序语句中不允许定义信号。进程中可以将信号列入敏感信号表,而不能将变量列入敏感信号表;信号的赋值语句:目标信号名=表达式;目标信号获得的新的数据不是即时的,与变量不同。有一个特定的延时。信号与变量的区别主要表现在接受与保持信号的方式和信息保持与传递的区域大小1)信号可以设置传输延时量,而变量不可以2)变量作为局部信息载体,只能在所定义进程中有效;信号可作为模块间信息载体3)变量的设置有时候只是过渡,最后的信息传输和通信还是依靠信号;5.2.1 含同步并行预置功能的8位移位寄存器设计位矢中1码个数统计电路设计三态门的设计Z在综合时属于一个不确定的值,不

23、同的综合器可能会给出不同的结果;Z必须大写,这是因为在IEEE库中对数据类型STD_LOGIC的预定义已经将高阻态确定为大写Z。3-8线译码器(要求会写)【例5-20】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(0 TO 7); output : OUT STD_LOGIC_VECTOR(0 TO 2) );END coder;ARCHITECTURE behav OF coder IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOW

24、NTO 0); BEGIN PROCESS (din) BEGIN IF (din(7)=0) THEN output = 000 ; ELSIF (din(6)=0) THEN output = 100 ; ELSIF (din(5)=0) THEN output = 010 ; ELSIF (din(4)=0) THEN output = 110 ; ELSIF (din(3)=0) THEN output = 001 ; ELSIF (din(2)=0) THEN output = 101 ; ELSIF (din(1)=0) THEN output = 011 ;ELSE output

25、 = 111 ; END IF ; END PROCESS ; END behav; 分频器只看偶分频。第九章VHDL结构与要素端口名是设计者为实体的每一个对外通道所取的名字,端口模式是指这些通道上的数据流动方式。数据类型是指端口上流动的数据的表达格式或取值类型,VHDL要求只有相同数据类型的端口信号和操作数才能相互作用。函数的语言表达格式如下: FUNCTION 函数名(参数表) RETURN 数据类型;-函数首 FUNCTION 函数名(参数表) RETURN 数据类型IS -函数体开始说明部分;BEGIN 顺序语句;END FUNCTION 函数名;-函数体结束调用程序包: USE 库名

26、.程序包名.项目名; USE 库名.程序包名.ALL;第一语句格式的作用是,向本设计实体开放指定库中的特定程序包内所选定的项目。第二语句格式的作用是,向本设计实体开放指定库中的特定程序包内所有的内容。定义程序包的一般语句结构如下: -程序包首PACKAGE 程序包名IS -程序包首开始程序包首说明部分;END PACKAGE程序包名; -程序包首结束 -程序包体PACKAGE BODY 程序包名IS -程序包体开始程序包体说明部分以及包体内容;END PACKAGE BODY程序包名; -程序包体结束常用的四种库:1、IEEE库2、STD库3、WORK库4、VITAL库数码管的写法:常用的预定

27、义程序包:1) STD_LOGIC_1164程序包2) STD_LOGIC_ARITH程序包3) STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包4) STANDARD和TEXTIO程序包文字规则:字符是用单引号引起来的ASCII字符,可以是数值,也可以是符号或字母,如:R,A,*,Z。而字符串则是一维的字符数组,须放在双引号中。VHDL中有两种类型的字符串:文字字符串和数位字符串。 X:十六进制基数符号(0F)O:八进制基数符号data1 = B1_1101_1110 - 二进制数数组,位矢数组长度是9data2 = O15 - 八进制数数组,位矢数组长度是6data3 = XAD0 - 十六进制数数组,位矢数组长度是12data4 = B101_010_101_010 - 二进制数数组,位矢数组长度是12data5 = 101_010_101_010 -表达错误,缺B。data6 z1 z2 z3 z4=1 ; - 当sel为815中任一值时选中 END CASE ;变量赋值符号:“:=”,信号赋值符号:“ data(high) THEN - 检测数据 temp := data(low) ; dat

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1