ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:237.51KB ,
资源ID:6788729      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6788729.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(AD转换电路的PCB板图设计夏勇.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

AD转换电路的PCB板图设计夏勇.docx

1、AD转换电路的PCB板图设计夏勇 合 肥 学 院课 程 设 计 报 告题 目: A/D转换电路的PCB板图设计 系 别:_电子信息与电气工程系 专 业:_ 电子信息工程_班 级: 电子(1)班_ 学 号:_1005072039_ 姓 名:_ 夏 勇_导 师:_周泽华 姚红_成 绩:_年 月 日 课程设计任务书设计题目A/D转换电路的PCB板图设计设计类型应用型导师姓名周泽华黄慧主要内容及目标1 完成A/D 转换电路原理图及其PCB板图的设计;2 完成整流稳压电路仿真图设计,并对其进行仿真,输出几个节点的电压信号;具有的设计条件计算机及protel 99se软件。计划学生数及任务09电子信息工程

2、1班:任务: 绘制A/D转换电路原理图; 电路原理图元器件库的设计; PCB板图设计; PCB板图封装库设计 根据提供的电路仿真图进行仿真;计划设计进程1、从接题开始收集资料、准备设计2、第1周 学习电路原理图的绘制及PCB板图制作方法;3、第2周 完成设计任务,同时编写设计报告;参考文献1、Protel 99 SE电路原理图与PCB设计及仿真,机械工业出版社,作者:清源科技2、电路设计与制版protel99高级应用,老虎 工作室 赵晶编著,人民邮电出版社3、protel电路原理图与pcb设计108例,李华嵩编著,中国青年出版社A/D转换电路的PCB板设计1. 摘要:EDA技术就是以计算机为工

3、具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。EDA技术是现代电子工程领域的一门新技术,它提供了基于计算机的电路设计方法。EDA技术的发展和推广极大的推动了电子产业的发展。掌握EDA技术是电子工程师就业的基本条件之一。 基于PCB的电路设计是EDA技术的重要内容,Protel 软件是实现基于PCB设计的一个杰出工具。Protel99SE软件主要包含以下几个模块:原理

4、图设计软件、电路板设计软件、用于PCB自动布线的Protel Advanced Route 99SE、可编程逻辑器件设计的Protel Advanced PLD、用于电路仿真的Advanced SIM和用于信号完整分析的Advanced integrity,其基本功能满足了一般用户的需求,对于初学者来说,软件容易上手、成本低。、本次实验我们使用Proter99SE将A/D转换电路制作成PCB板和仿真电路设计。A/D转换电路制作成PCB板其步骤有:环境设计、创建schematic Document文件画出原理图,检验原理图、生成网表,建立PCB Document文件载入网表、修改封装,元件布局、

5、自动布线、手工调整布线、整体编辑、输出打印,结束。关键词:Protel Advanced Route 99SE Protel Advanced PLD Advanced SIM Advanced integrity2.电路原理图及元器件库设计2.1原理图设计 (1)启动原理图设计服务器进入Protel 99 SE,创建一个数据库,执行菜单File菜单上的New命令,系统会弹出一个界面,由于在机房里计算机中只有F盘没有还原,故都保存在此盘中。 (2)设置图纸大小绘制原理图是,首先应根据设计电路的实际大小、复杂程度和设计方式确定所用图纸的大小,默认的图纸大小一般为所安装的打印机的默认规格。一般情况

6、下为A4。可在Design下拉菜单的Options选项中Standard Style下拉列表框中设置图纸的规格,也可在Custom Style 选项组中自定义图纸的大小。(3)设置设计环境设置环境包括设置个点大小和类型、光标类型等。一般大多数参数均可用系统默认值,设置之后无需修改。可在Tools 下拉菜单的Preferences 选项中进行环境设置。(4)装入所需的元件库在设计管理器中选择Browse SCH页面,在Browse区域中的下拉框中选择Library,然后单击ADD/Remove按钮,在弹出的窗口中寻找Protel 99 SE子目录,在该目录中选择LibrarySCH路径,在元件库

7、列表中选择所需的元件库,常见元件库Protel DOS Schematic Libraries.ddb;Sim.ddb,单击ADD按钮,即可把元件库增加到元件库管理器中。(5)放置元件及布线根据实际电路的需要,到元件库中找出所需的元件,然后用元件管理器的Place按钮将元件放置在工作平面上,再根据元件之间的走线把元件调整好。接着利用Protel 99 SE提供的各种工具、指令进行布线,将工作平面上的器件用具有电气意义的导线、符号连接起来,构成一个完整的电路原理图。A/D转换电路制原理 2.2原件库设计设计步骤:原理图中大部分元件都可以在元件库里找到,直接添加,而其中有一个元件在元件库中是找不到

8、的,需要自己绘制。新建如图文件:通过工具框绘制元件如下图:重命名后保存即可。回到原理图绘制界面,按以上步骤加载自定义的元件库,就可以找到刚才绘制的元件。具体步骤如下:1 在工程下建立菜单栏File里新建schlib1.Lib2 打开新建的schlib1.Lib,利用工具画出CN图3 保存元件用Tools-Rename Commponent保存元件,元件名CN6,当使用cn6要dd Remove就可到此元件,然后进行和其他原件一起组装使用即可。4 元件CN图3.PCB板图及封装库设计3.1封装库设计由于上文提到了元件CN在封装库中没有其所需的封装我们需创建一个封装CN6。以便在后面的过程生成PC

9、B所用。设计步骤:1 建立文件PCB Libray Document文件。 2 在top overlay层画上边框,边框大小为1600mil*400mil。可以以原点为(0 0)的进行画图,比较容易,如果不在原点,可以通过计算画出长宽的大小。3 设置焊盘第一个焊盘为方形其他为圆形,焊盘直径150mil,孔径50mil;.焊盘之间的距离可以通过设置调节,也可不调节。4 保存封装名为CN6如库,结束封装如图所示5 封装CN6 图3.2PCB板规划设计好的元件需要放入PCB板中,所以我们需要规划一个大小适合的PCB板来盛放这些元件。设计步骤:1 建立文件PCB Libray文件并打开。2 设置好原点

10、,在keepoutlayer画出边框大小为四个小方格。一定要在keepoutlayer的环境中进行,不然以后自动排线和自动布局就无法正确的完成3.3元件的封装1.元件的封装是生成pcb过程中必须要完成的一项工作,首先打开常见元件的封装名2.对于元件的属性找到相应的封装,然后载入3.4络表生成/载入1网表生成:PCB板规划完成后,我们需要在入网表(.NET文件),网表在原理图做好时可以通过Design-Netlist creation命令来生成。如图网络表文件 图3 网络表载入:生成网络表之后我们就可以在PCB文件中载入它了,使用Design-load Nets-Execute来载入元件封装。4

11、注意:二极管封装DIODE0.4的两个焊盘的号码是A、K,我们的原理图上却是1、2,所以要在封装库里找到它并修改成1、2,在修改的过程中要注意将其他的属性不要修改 封装DIODE0.4 图3.4PCB板图根据上面设计的电路确定电路板的物理尺寸及电气边界。步骤如下:1.进入PCB设计编辑器,单击编辑区下方的Keep Out Layer,将当前工作层设置为Keep Out Layer,如图所示。该层为禁止布线层,一般用于设置电路板的边界。执行菜单命令Place/Keepout/Track,绘制电路板的边框。执行菜单Design/Options,在“Signal Lager”中选择Bottom La

12、ger,把电路板定义为单面板。2.执行命令Design/Load Nets,显示如下图所示的“Load/Forward Annotate Netlist”对话框。单击Browse按钮,打开“Select”对话框。在其中选择上面准备好的sheet1 netlist文件。若显示以上结果,则表示加载sheet1 netlist无误,否则必须修改原理图,并且必须重新生成sheet1 netlist后重复上述步骤。确认没有错误信息后,单击Execute按钮,加载sheet1 netlist与元件到电路板上。3.元件后,我们可以把元件拖入边框之中再通过Tools-Aoto Placement-Aoto P

13、lacer来给元件排列位置,排列好后需要手工调整一下使得PCB板更加美观。4.布好后就可以布线了,使用Aoto Route All-ALL打开Autorouter setup选项可以在里面设置布线的要求,设置完成后点击Rout All自动布线。至此PCB板制作基本完成如图。关于二个pcb图的说明,第一个是把电容中的原来给的0.22uF改为1uF,第二个图中少一个电容的原因是少了电容是0.22uF故没有显示出来。3D效果图4.小结在二个星期的EDA实训中,我自己学到了很多的知识,不仅是动手能力还是接受问题的能力都有了一个比较大的提高,在做实验的过程中当然也遇到了许多的问题。在实训中我对这门以计算

14、机为主体的课程有了一个比较深刻的理解。EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。科研方面主要利用电路仿真工具进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。 从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如AutoCAD软件可用于机械及建筑设计,也扩

15、展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。在学习的工程中我也遇到了很多的问题和困难,下面就我遇到的问题我做个简单的罗列。在刚开始的学习过程中,由于英语不好,工具栏上面很多的东西都不能应用。在画电路图的过程中依然会有很多的问题,最主要的是找器件的问题,很多器件不知道它的全名所以很难找到。在pcb中,一开始没有用keepoutlayer进行画个方格,而是随便画的,最后导致自动布线,自动布局没法正确的完成在自己画器件的过程中有很多的工具不会用,画好了也是稀里糊涂的将其添加到pcb里面去了,具体是如何添加进去的感觉不太清楚其实在学这门课程中一个最突出的问题就是很多时候我只是按照老师教我的步骤一步步往下做,却很难有自己独立的思考空间,为什么要这么做,做这些为什么,很多时候,我只是因为老师教我这么做,然后我就这么做,没有自己独立的思考问题。参考文献:1、Protel 99 SE电路原理图与PCB设计及仿真,机械工业出版社,作者:清源科技2、电路设计与制版protel99高级应用,老虎 工作室 赵晶编著,人民邮电出版社3、protel电路原理图与pcb设计108例,李华嵩编著,中国青年出版社课程设计评语指导教师评语设计成绩注:

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1