ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:1.20MB ,
资源ID:666113      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/666113.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(交通灯信号控制设计 精品.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

交通灯信号控制设计 精品.docx

1、交通灯信号控制设计 精品EDA技术实验报告册班级: 姓名:学号:指导教师:开课时间: 2013 至 2014 学年第 1 学期实验名称交通灯信号控制设计实验时间2013年12月05日姓 名实验成绩一、实验目的1.掌握VHDL语言的基本结构。 2.掌握VHDL层次化的设计方法。 3.掌握VHDL基本逻辑电路的综合设计应用。二、实验设备计算机软件:Quartus IIEDA实验箱。主芯片:EPM7128SLC84-15或EP1K100QC208-3。下载电缆,导线等。三、实验内容设计并调试好一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下:1.主、支干道各设一个绿

2、、黄、红指示灯,两个显示数码管。2.主干道处于常允许通行状态,而支干道有车来时才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。3.当主、支干道均有车时,两者交替允许通行,主干道每次放行45S,支干道每次放行25S,在每次由亮绿灯变成亮红灯的转换过程中,要亮5S的黄灯作为过渡,并进行减计时显示。要求编写交通灯控制器电路逻辑图中的各个模块的VHDL语言程序,并完成交通灯控制器的顶层设计,然后利用开发工具软件对其进行编译和仿真,最后要通过实验开发系统对其进行硬件验证。(一)编写交通灯控制器JTDKZ模块的VHDL程序,并对其进行编译和仿真,初步验证设计的正

3、确性。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY JTDKZ IS PORT(CLK, SM, SB: IN STD_LOGIC; MR, MY, MG, BR, BY, BG: OUT STD_LOGIC);END ENTITY JTDKZ;ARCHITECTURE ART OF JTDKZ IS TYPE STATE_TYPE IS(A, B, C, D); SIGNAL STATE: STATE_TYPE; signal cnt:integer range 0 to 45;BEGIN PROCESS(CLK) IS BEGIN IF(C

4、LKEVENT AND CLK=1)THEN CASE STATE IS WHEN A= IF(SB AND SM)=1 THEN IF CNT=44 THEN CNT=0; STATE=B; ELSE CNT=CNT+1;STATE=A; END IF; ELSIF(SB AND (NOT SM)=1 THEN STATE=B; CNT=0; ELSE STATE=A; CNT IF CNT=4 THEN CNT=0;STATE=C; ELSE CNT=CNT+1;STATE IF(SM AND SB)=1 THEN IF CNT=24 THEN CNT=0; STATE=D; ELSE C

5、NT=CNT+1;STATE=C; END IF; ELSIF SB=0 THEN STATE=D; CNT=0; ELSE STATE=C; CNT IF CNT=4 THEN CNT=0; STATE=A; ELSE CNT=CNT+1;STATE MR=0; MY=0; MG=1; BR=1; BY=0; BG MR=0; MY=1; MG=0; BR=1; BY=0; BG MR=1; MY=0; MG=0; BR=0; BY=0; BG MR=1; MY=0; MG=0; BR=0; BY=1; BG=0; END CASE; END PROCESS RGY;END ARCHITEC

6、TURE ART;(二)编写45S定时单元CNT45S模块的VHDL程序,并对其进行编译和仿真,初步验证设计的正确性。-45s定时模块源程序CNT45S.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY time_45s IS PORT(SB,SM, CLK, EN45: IN STD_LOGIC; DOUT45M, DOUT45B: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END ENTITY time_45s ; ARCHITECTURE

7、ART OF time_45s IS SIGNAL CNT6B: STD_LOGIC_VECTOR(5 DOWNTO 0); BEGIN PROCESS(SB, SM, CLK, EN45) IS BEGIN IF(CLKEVENT AND CLK= 1)THEN IF SB=1 AND SM=1 THEN IF EN45=1THEN CNT6B=CNT6B+1; ELSE CNT6B=000000; END IF; ELSE CNT6BDOUT45M=01000101; DOUT45BDOUT45M=01000100; DOUT45BDOUT45M=01000011; DOUT45BDOUT

8、45M=01000010; DOUT45BDOUT45M=01000001; DOUT45BDOUT45M=01000000; DOUT45BDOUT45M=00111001; DOUT45BDOUT45M=00111000; DOUT45BDOUT45M=00110111; DOUT45BDOUT45M=00110110; DOUT45BDOUT45M=00110101; DOUT45BDOUT45M=00110100; DOUT45BDOUT45M=00110011; DOUT45BDOUT45M=00110010; DOUT45BDOUT45M=00110001; DOUT45BDOUT

9、45M=00110000; DOUT45BDOUT45M=00101001; DOUT45BDOUT45M=00101000; DOUT45BDOUT45M=00100111; DOUT45BDOUT45M=00100110; DOUT45BDOUT45M=00100101; DOUT45BDOUT45M=00100100; DOUT45BDOUT45M=00100011; DOUT45BDOUT45M=00100010; DOUT45BDOUT45M=00100001; DOUT45BDOUT45M=00100000; DOUT45BDOUT45M=00011001; DOUT45BDOUT45M=00011000; DOUT45BDOUT45M=00010111; DOUT45BDOUT45M=00010110; DOUT45BDOU

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1