ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:816.80KB ,
资源ID:6623469      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6623469.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(东华大学可编程asic课后实验报告答案赵曙光.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

东华大学可编程asic课后实验报告答案赵曙光.docx

1、东华大学可编程asic课后实验报告答案赵曙光可编程ASIC技术实验报告学号:何足道姓名:何足道班级:自动化null老师:赵曙光实验一实验要求:用持续赋值语句描述一个4选1数据选择器。程序代码:module e(out,in0,in1,in2,in3,sel);output out;input in0,in1,in2,in3;input1:0 sel;reg out;assign sel=in0&in1&in2&in3;case(sel) 2b00: out=in0; 2b01: out=in1; 2b10: out=in2; 2b11: out=in3; default: out=2bx;en

2、dcaseendmodule实验结果:实验二实验要求:用行为语句设计一个8位计数器,每次在时钟的上升沿,计数器加1,当计数器溢出时,自动从零开始重新计数。另外,计数器有同步复位端。程序代码:module e(out,data,load,reset,clk);output7:0 out;input7:0 data;input load,clk,reset;reg7:0 out;always (posedge clk) /clk上升沿触发 begin if(!reset) out=8h00; /同步清0,低电平有效 else if(load) out=data; /同步预置 else out=ou

3、t+1; /计数 endendmodule实验结果:实验三实验要求:设计一个4位移位寄存器。程序代码:module e(out_data,in_data,clk,clr);output3:0 out_data;input3:0 in_data;input clk,clr;reg3:0 out_data;always (posedge clk or posedge clr) beginif(clr) out_data =0;endendmodule实验结果:实验四实验要求:设计一个n位加法器程序代码:module yt(cout,sum,a,b,cin);parameter y=9;output

4、y:0 sum;output cout;inputy:0 a,b;input cin;regy:0 sum;reg cout;always ( a or b or cin ) begin cout,sum=a+b+cin; endendmodule实验结果:实验五实验要求:设计一个4位减法器程序代码:module yt(d, q,clk, a,clear, load, up_down, qd);input3:0 d;input3:0 q;input clk,clear,load,up_down,a;output7:0 qd;reg7:0 cnt;assign qd=cnt;always (up

5、_down) beginif(!clear) cnt=8h00; /同步清0,低电平有效else if(load) cnt=d; /同步预置else if(up_down) cnt=d+q;/加法else if(a) cnt=d*q;/乘法else cnt=d-q; /减法 endendmodule实验结果:实验六实验要求:4位乘法器程序代码:module yt(d, q,clk, a,clear, load, up_down, qd);input3:0 d;input3:0 q;input clk,clear,load,up_down,a;output7:0 qd;reg7:0 cnt;as

6、sign qd=cnt;always (up_down) beginif(!clear) cnt=8h00; /同步清0,低电平有效else if(load) cnt=d; /同步预置else if(up_down) cnt=d+q;/加法else if(a) cnt=d*q;/乘法else cnt=d-q; /减法 endendmodule实验结果:实验七实验要求:设计实现一个功能类似74LS160的电路。程序代码:module ooo(out,data,load,reset,clk);output9:0 out;input9:0 data;input load,clk,reset;reg9

7、:0 out;always (posedge clk) begin if(!reset) out=8h00; else if(!(out-9) out=8h00; else if(load) out=data; else out=out+1; endendmodule实验结果:实验八实验要求:设计一个“1101”序列检测器。程序代码:module qwe(a,F,clk);input a,clk;output F;reg b,c,d,F;always (posedge clk)beginb=a;c=b;d=c;F=d&c&(!b)&a;endendmodule实验九实验要求:设计一个加法器,实

8、现sum=a0+a1+a2+a3,a0、a1、a2、a3宽度都是8位。如用下面两种方法实现,哪种方法更好一些。(1)sum=(a0+a1)+a2)+a3(2)sum=(a0+a1)+(a2+a3)程序代码:module yyy(cout,sum,ina,inb,inc,ind,cin,clk);output7:0 sum;output cout;input7:0 ina,inb,inc,ind;input cin,clk;reg7:0 tempa,tempb,tempd,tempe,sum;reg cout;reg tempc;always (posedge clk)begin tempa=i

9、na; tempb=inb; tempd=inc;tempe=ind; tempc=cin; endalways (posedge clk)begin cout,sum=tempa+tempb+tempc+tempd+tempe; endendmodule2module qwe(sum,sum2,sum3,cout,cout2,cout3,b,a,c,d,cin,clk);output7:0 sum,sum2,sum3;output cout,cout2,cout3;input7:0 a,b,c,d;input cin,clk;reg sum,sum2,sum3;always (posedge

10、 clk)sum=a+b+cin;always (posedge clk)sum2=c+d+cin;always (posedge clk)sum3=sum+sum2;endmodule实验结果:实验十实验要求:用流水线技术对上例中的sum=(a0+a1)+a2)+a3的实现方式进行优化,对比最高工作频率。程序代码:module yyy(cout,sum,ina,inb,inc,ind,cin,clk);output8:0 sum;output cout;input7:0 ina,inb,inc,ind;input cin,clk;reg7:0 tempa,tempb,tempc,tempd,

11、sum;reg tempci,firstco,secondco,thirdco, cout;reg1:0 firsts, thirda,thirdb, thirdc, thirdd;reg3:0 seconda, secondb, secondc, secondd, seconds;reg5:0 firsta, firstb,firstc,firstd, thirds;always (posedge clk)begin tempa=ina; tempb=inb; tempc=inc; tempd=ind;tempci=cin; end always (posedge clk)begin fir

12、stco,firsts=tempa1:0+tempb1:0+tempc1:0+tempd1:0+tempci; firsta=tempa7:2; firstb=tempb7:2; firstc=tempc7:2; firstd=tempd7:2; endalways (posedge clk)begin secondco,seconds=firsta1:0+firstb1:0+firstc1:0+firstd1:0+firstco,firsts; seconda=firsta5:2; secondb=firstb5:2; secondc=firstc5:2; secondd=firstd5:2

13、; endalways (posedge clk)begin thirdco,thirds=seconda1:0+secondb1:0+secondc1:0+secondd1:0+secondco,seconds;thirda=seconda3:2;thirdb=secondb3:2; thirdc=secondc3:2; thirdd=secondd3:2; endalways (posedge clk)begin cout,sum=thirda1:0+thirdb1:0+thirdc1:0+thirdd1:0+thirdco,thirds; endendmodule实验结果:实现流水线最高频率

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1