ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:22.52KB ,
资源ID:6608849      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6608849.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA选择题含答案解读.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA选择题含答案解读.docx

1、EDA选择题含答案解读一、选择题:(20分)1 大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:_D_ A. CPLD是基于查找表结构的可编程逻辑器件 B. CPLD即是现场可编程逻辑器件的英文简称 C. 早期的CPLD是从FPGA的结构扩展而来 D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2 基于VHDL设计的仿真包括有门级时序仿真、行为仿真、功能仿真和前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_D A B. C D3 IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描

2、述的IP核中,对于固IP的正确描述为:_DA提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路B提供设计的最总产品模型库C以可执行文件的形式提交用户,完成了综合的功能块D都不是4 下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:_BA原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B原理图输入设计方法一般是一种自底向上的设计方法C原理图输入设计方法无法对电路进行功能描述D原理图输入设计方法不适合进行层次化设计5 在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_DAPROCESS为一无限循环语句

3、B敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C当前进程中声明的变量不可用于其他进程D进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成6 对于信号和变量的说法,哪一个是不正确的:_A A信号用于作为进程中局部数据存储单元 B变量的赋值是立即完成的 C信号在整个结构体内的任何地方都能适用 D变量和信号的赋值符号不一样7 下列状态机的状态编码,_方式有“输出速度快、难以有效控制非法状态出现”这个特点。A A状态位直接输出型编码 B一位热码编码 C顺序编码 D格雷编码8 VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_D AIEEE库 BVITAL库

4、 CSTD库 DWORK工作库9 下列4个VHDL标识符中正确的是:_d A10#128# B16#E#E1C74HC124DX_1610下列语句中,不属于并行语句的是:_B A进程语句 BCASE语句 C元件例化语句 DWHENELSE语句写出下列缩写的中文(或者英文)含义:1. ASIC 专用集成电路2. FPGA 现场可编程门阵列3. IP 知识产权核(软件包)4. JTAG 联合测试行动小组 HDL 硬件描述语言10 基于EDA软件的FPGA / CPLD设计流程,以下流程中哪个是正确的:_C_ A. 原理图/HDL文本输入适配综合时序仿真编程下载功能仿真硬件测试B. 原理图/HDL文

5、本输入功能仿真综合时序仿真编程下载适配硬件测试C. 原理图/HDL文本输入功能仿真综合适配时序仿真编程下载硬件测试D. 原理图/HDL文本输入适配时序仿真编程下载功能仿真综合硬件测试11 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_A_是错误的。 A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程,并且该过程与器件硬件结构无关 B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 C. 综合可以理解为将软件描述与给定的硬件结构用电路网表文件表示的映射过程,映射结果不唯一 D. 综合就是将电路

6、的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件12 FPGA的可编程是主要基于什么结构:_A_ A. 查找表(LUT) B. ROM可编程 C. PAL可编程 D. 与或阵列可编程13 IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为:_D_ A. 胖IP B. 瘦IP C. 硬IP D. 都不是14 串行化设计是一种优化方式,下列哪一项对串行化设计描述正确:_C_ A. 面积优化方法,同时有速度优化效果 B. 速度优化方法,不会有面积优化效果 C. 面积优化方法,不会有速度优化效果

7、D. 速度优化方法,可能会有面积优化效果15 在VHDL语言中,下列对时钟边沿检测描述中,错误的是:_B_ A. if clkevent and clk = 1 then B. if clkstable and not clk = 1 then C. if rising_edge(clk) then D. if not clkstable and clk = 1 then16 状态机编码方式中,哪种编码速度较快而且输出没有毛刺?_C_ A. 一位热码编码 B. 格雷码编码 C. 状态位直接输出型编码 D. 都不是17 不完整的IF语句,其综合结果可实现:_D_ A. 三态控制电路 B. 条件相

8、或的逻辑电路 C. 双向控制电路 D. 时序逻辑电路18 以下对于进程PROCESS的说法,正确的是:_C_ A. 进程之间可以通过变量进行通信 B. 进程内部由一组并行语句来描述进程功能 C. 进程语句本身是并行语句 D. 一个进程可以同时描述多个时钟信号的同步时序逻辑10关于VHDL中的数字,请找出以下数字中数值最小的一个:_C_A. 2#1111_1110# B. 8#276#C. 10#170# D. 16#E#E1二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(10分)1SOPC :可编程单片系统2PCB :3RTL : 寄存器传输级4LPM 参数可设置模块库5CPLD6F

9、SM 有限状态机(Finite State Machine)JTAG指的是什么?大致有什么用途?19 下列是EDA技术应用时涉及的步骤:A. 原理图/HDL文本输入; B. 适配; C. 时序仿真; D. 编程下载; E. 硬件测试; F. 综合请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:A _F_ _B_ _C_ D _E_20 PLD的可编程主要基于A. LUT结构 或者 B. 乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA 基于 _A_CPLD 基于 _B_21 在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。对于A. FPG

10、A B. CPLD 两类器件:一位热码 状态机编码方式 适合于 _A_ 器件;顺序编码 状态机编码方式 适合于 _B_ 器件;22 下列优化方法中那两种是速度优化方法:_B_、_D_A. 资源共享 B. 流水线 C. 串行化 D. 关键路径优化23 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_D_是错误的。A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C. 综合可理解为,将软件描述与给定的硬件结构用

11、电路网表文件表示的映射过程,并且这种映射关系不是唯一的。 D. 综合是纯软件的转换过程,与器件硬件结构无关;24 嵌套的IF语句,其综合结果可实现_D_。A. 条件相与的逻辑B. 条件相或的逻辑C. 条件相异或的逻辑D. 三态控制电路25 在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。DA. idata = “00001111”;B. idata = b”0000_1111”;C. idata = X”AB”;D. idata = B”21”;26 在VHDL语言中,下列对时钟边沿检测描述中,错误的是_D_。A. if c

12、lkevent and clk = 1 thenB. if falling_edge(clk) then C. if clkevent and clk = 0 thenD.if clkstable and not clk = 1 then27 请指出Altera Cyclone系列中的EP1C6Q240C8这个器件是属于_C_ A. ROM B. CPLD C. FPGA D.GAL二、EDA名词解释,(10分)写出下列缩写的中文(或者英文)含义:5. ASIC 专用集成电路6. FPGA 现场可编程门阵列7. CPLD 复杂可编程逻辑器件8. EDA 电子设计自动化9. IP 知识产权核10

13、. SOC 单芯片系统 简要解释JTAG,指出JTAG的用途 JTAG,joint test action group,联合测试行动小组的简称,又意指其提出的一种硬件测试标准,常用于器件测试、编程下载和配置等操作。28 下列是EDA技术应用时涉及的步骤:A. 原理图/HDL文本输入; B. 适配; C. 时序仿真; D. 编程下载; E. 硬件测试; F. 综合请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:A _ _ _ _ E29 PLD的可编程主要基于A. LUT结构 或者 B. 乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA 基于 _CPLD 基于 _

14、30 在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。对于A. FPGA B. CPLD 两类器件:一位热码 状态机编码方式 适合于 _ 器件;顺序编码 状态机编码方式 适合于 _ 器件;31 下列优化方法中那两种是速度优化方法:_、_A. 资源共享 B. 流水线 C. 串行化 D. 关键路径优化单项选择题: 32 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_是错误的。A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的

15、要求,需要对综合加以约束,称为综合约束;C. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。 D. 综合是纯软件的转换过程,与器件硬件结构无关;33 不完整的IF语句,其综合结果可实现_。A. 时序电路B. 双向控制电路C. 条件相或的逻辑电路D. 三态控制电路34 在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。A. idata = 00001111;B. idata = b0000_1111;C. idata = XAB;D. idata = 1601;35 在VHDL

16、语言中,下列对时钟边沿检测描述中,错误的是_。A. if clkevent and clk = 1 thenB. if falling_edge(clk) then C. if clkevent and clk = 0 thenD.if clkstable and not clk = 1 then36 请指出Altera Cyclone系列中的EP1C6Q240C8这个器件是属于_ A. FPGA B. CPLD C. CPU D.GAL三、EDA名词解释,(10分)写出下列缩写的中文(或者英文)含义:11. ASIC 专用集成电路12. FPGA 现场可编程门阵列13. LUT 查找表14.

17、 EDA 电子设计自动化15. IP 知识产权核16. SOPC 片上可编程系统 简要解释JTAG,指出JTAG的用途一、单项选择题:(20分)37 下列那个流程是正确的基于EDA软件的FPGA / CPLD设计流程:BA. 原理图/HDL文本输入适配综合功能仿真编程下载硬件测试B. 原理图/HDL文本输入功能仿真综合适配编程下载硬件测试C. 原理图/HDL文本输入功能仿真综合编程下载适配硬件测试;D. 原理图/HDL文本输入功能仿真适配编程下载综合硬件测试38 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_是错误的。CA.

18、综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C. 综合是纯软件的转换过程,与器件硬件结构无关;D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。 39 CPLD的可编程是主要基于什么结构:。DA .查找表(LUT);B. ROM可编程; C. PAL可编程;D. 与或阵列可编程; IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为:。CA. 硬IP;B. 固IP;C. 软IP;D. 都不是;40

19、 流水线设计是一种优化方式,下列哪一项对资源共享描述正确_。bA. 面积优化方法,不会有速度优化效果B. 速度优化方法,不会有面积优化效果C. 面积优化方法,可能会有速度优化效果D. 速度优化方法,可能会有面积优化效果41 在VHDL语言中,下列对时钟边沿检测描述中,错误的是_。DA. if clkevent and clk = 1 thenB. if falling_edge(clk) then C. if clkevent and clk = 0 thenD.if clkstable and not clk = 1 then42 状态机编码方式中,其中_占用触发器较多,但其实现比较适合FP

20、GA的应用C A. 状态位直接输出型编码 B. 顺序编码 C. 一位热码编码 D. 以上都不是8 子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列那种方法是速度优化_。AA. 流水线设计 B. 资源共享C. 逻辑优化 D. 串行化43 不完整的IF语句,其综合结果可实现_。AA. 时序电路B. 双向控制电路C. 条件相或的逻辑电路D. 三态控制电路10在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。DA. idata = “00001111”B. idata = b”00

21、00_1111”;C. idata = X”AB”D. idata = 16”01”;二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(10分)17. SOC 单芯片系统18. FPGA 现场可编程门阵列19. LUT 查找表20. EDA 电子设计自动化21. Synthesis 综合44 IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_。DA .瘦IP B.固IP C.胖IP D.都不是45 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_是错误的。Da) 综合就是把抽象设计层次中的一种表示转

22、化成另一种表示的过程;b) 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;c) 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;d) 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。46 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是_C_。a) FPGA全称为复杂可编程逻辑器件; b) FPGA是基于乘积项结构的可编程逻辑器件;c) 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;d) 在Altera公司生产的器件中,MAX7000系列属FPGA

23、结构。47 进程中的信号赋值语句,其信号更新是_C_。a) 按顺序完成;b) 比变量更快完成;c) 在进程的最后完成;都不对。48 VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_。Ba) 器件外部特性;b) 器件的内部功能;c) 器件的综合约束;d) 器件外部特性与内部功能。49 不完整的IF语句,其综合结果可实现_。A A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路50 子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_。B 流水线设计 资源共享

24、 逻辑优化 串行化 寄存器配平 关键路径法A. B. C. D. 51 下列标识符中,_是不合法的标识符。BA. State0 B. 9moon C. Not_Ack_0 D. signall52 关于VHDL中的数字,请找出以下数字中最大的一个:_。Aa) 2#1111_1110#b) 8#276#c) 10#170#d) 16#E#E110下列EDA软件中,哪一个不具有逻辑综合功能:_。BA. Max+Plus IIB. ModelSimC. Quartus IISynplify二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(14分)22. LPM 参数可定制宏模块库23. RT

25、L 寄存器传输级24. UART 串口(通用异步收发器)25. ISP 在系统编程26. IEEE 电子电气工程师协会27. ASIC 专用集成电路28. LAB 逻辑阵列块53 大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是_CD_。 A. CPLD是基于查找表结构的可编程逻辑器件; B. CPLD即是现场可编程逻辑器件的英文简称; C. 早期的CPLD是从GAL的结构扩展而来; D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构;54 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在

26、下面对综合的描述中,_A_是正确的。a) 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;b) 综合是纯软件的转换过程,与器件硬件结构无关; c) 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为强制综合。d) 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的;55 IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于硬IP的正确描述为_D_。 a) 提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路;b) 提供设计的最总

27、产品-模型库;c) 以网表文件的形式提交用户,完成了综合的功能块;d) 都不是。56 基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入_ _综合适配_编程下载硬件测试。D功能仿真 时序仿真 逻辑综合 配置 引脚锁定 A B. C. D. 57 下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的_C_。a) 原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;b) 原理图输入设计方法一般是一种自底向上的设计方法;c) 原理图输入设计方法无法对电路进行功能描述; d) 原理图输入设计方法也可进行层次化设计。58 在VHDL语言中,下列对进程(

28、PROCESS)语句的语句结构及语法规则的描述中,不正确的是_C_。a) PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。b) 敏感信号参数表中,不一定要列出进程中使用的所有输入信号;c) 进程由说明部分、结构体部分、和敏感信号三部分组成;d) 当前进程中声明的变量不可用于其他进程。59 嵌套使用IF语句,其综合结果可实现_A_。a) 带优先级且条件相与的逻辑电路;b) 条件相或的逻辑电路;c) 三态控制电路;d) 双向控制电路。60 电子系统设计优化,主要考虑提高资源利用率减少功耗-即面积优化,以及提高运行速度-即速度优化;指出下列那种方法不属于速度优化:_B_。A. 流水线设计 B. 串行化C. 关键路径法 D.

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1