ImageVerifierCode 换一换
格式:DOCX , 页数:58 ,大小:48.66KB ,
资源ID:6431161      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6431161.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(常用时序分析SDC.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

常用时序分析SDC.docx

1、常用时序分析SDC常用时序分析SDC命令参考(一)1.Define design environment1.1.Set_operating_conditions1.2.Set_wire_load_model1.3.Set_driving_cell1.4.Set_load1.5.Set_fanout_load1.6.Set_min_library2.Set design constraints2.1.Design rule constraints2.1.1.Set_max_transition2.1.2.Set_max_fanout2.1.3.Set_max_capacitance2.2.Des

2、ign optimization constraints2.2.1.Create_clock2.2.2.create_generated_clock2.2.3.Set_clock_latency2.2.4.Set_propagated_clock2.2.5.Set_clock_uncertainty2.2.6.Set_input_delay2.2.7.Set_output_delay2.2.8.Set_max_area3.Other commands3.1.set_clock_groups3.2.set_false_path3.3.set_case_analysis3.4.set_max_de

3、lay1.Do not exist in timing fix sdc file:1.1.Set_max_area1.2.set_operation_conditions1.3.set_wire_load_model1.4.set_ideal_*2.Must be placed in timing fix sdc file:2.1.Set_clock_uncertainty,2.2.set_max_transition2.3.set_propagated_clockcreate_clock在当前设计中创建一个时钟语法:status create_clock-name clock_name-ad

4、dsource_ojbects-period period_value-waveform edge_list数据类型:clock_name 字符source_objects 列表period_value 浮点edge_list 列表参数:-nameclock_name指定时钟名称。如果你不使用该选项,时钟名称将会与第一个时钟源在source_objects指定的一样。如果你没有使用souce_objects,你就必须使用本选项,它会创建一个与端口或接脚无关的虚拟时钟。本选项与source_objects一起使用可以为时钟增加一个描述性的名称。如果你使用-add选项,你必须使用-name选项,并

5、且要为有相同源的时钟分配不同的名称。(注解:-name如果省略,就必须要指定创建时钟的节点。这也是默认的做法。不指定时钟创建节点的意思就是指创建一个虚拟时钟。如果既指定了时钟节点,有写了-name选项,这个-name可以认为是一个alias。)(疑难点:虚拟时钟,-add选项)-add指明是否将该时钟加到已存在的时钟中或将其覆盖。使用本选项抓取有相同源不同波形,进行同时分析的复合时钟。你使用该选项时,必须使用-name选项。定义在相同源接脚或端口的复合时钟相比一个单一时钟,会导致更长的运行时间和更高的内存占用。因为时序综合引擎必须搜索所有开始(launch)和截取(capture)组合的可能性

6、。使用set_false_path命令限制不期望的组合。该选项在默认为关闭,除非复合时钟分析的相关变量timing_enable_multiple_clocks_pre_reg被设为true。(疑难点:timing_enable_multiple_clocks_per_reg,-add)(注意,这是create_clock命令,它也是有-add选项的。)(举一个简单的例子,对于一个输入时钟端口,你创建了两个时钟。第二个时钟创建的时候使用了-add选项。则,看timing路径的时候,就会发现clk1和clk2互相检查的情况。这种情况是不真实的。需要使用false path把它们进行设置。这属于两

7、时钟物理互斥的范畴。)source_objects指定应用于时钟的接脚或端口的列表。如果你没有使用本选项,你必须使用-name clock_name,它会创建一个与接脚或端口无关的虚拟时钟。如果你指定的时钟已经在接脚上了,旧的时钟会被取代,除非你增加-add选项。-period period_value以库时间单位指定时钟波形的周期。-waveform edge_list以库时间单位指定整个时间周期中时钟的上升或下降沿时间。列表中第一个时间是上升转换,通常第一个上升转换在时间零点之后。这里增加次数必须是偶数,并且它们假定为交替的上升和下降时间。数值上,为一个完整时钟周期。如果-waveform

8、 edge_list没有设定,但有-period period_value,默认情况,波形假定为一个0.0上升沿和一个period_value/2下降沿。(疑难点:通过-waveform把波形给画出来。但是,-period是必须要写的。也就是说,你可以只使用-period指定一个时钟周期。默认是50%占空比,且先1后0。如果想创建占空比不是50%的时钟,就要通过-waveform来描述了,第一个上升的位置在什么时刻,下降的位置在什么时刻。)(和create_generate_clock中的-edge选项很容易混淆!)描述:create_clock命令在当前设计中创建一个时钟。该命令在当前设计中

9、定义指定的source_objects作为时钟源。一个接脚或端口可以成为一个单时钟源。如果source_objects没有指定,但clock_name给定,一个虚拟时钟会被创建。一个虚拟时钟可以被创建,代表一个片外时钟用于描述输入或输出延迟。更多关于输入和输出延迟的信息,可以阅读set_input_delay和set_oupt_delay参考。时钟影响到时钟网络的属性,比如dont_touch_network, fix_hold和propagated_clock。使用create_clock在已存在的时钟上会覆盖时钟上已有的属性。create_clock也同时定义时钟波形。时钟允许每个周期多脉

10、冲形式。建立和保持路径延迟是从时钟波形路径的起点到终点自动衍生的。fix_hold属性(用set_fix_hold设定)指导compile修复时钟保持(hold)错误。默认状态,时钟对应一组路径。该组与时钟相关的终点用于估算函数的计算。要将时钟从分配的组中删除,使用group_path命令分配时钟到另一个组或默认路径组。更多的信息可参考group_path命令帮助。新的时钟有理想时序,不能通过时钟网络传播延迟。使用set_propagated_clock命令让时钟能够进行延迟传播。要添加偏差(skew)和不确定因素(uncertainty)到理想波形中,使用set_clock_latency或

11、set_clock_uncertainty命令。显示当前设计中所有时钟源信息,使用report_clock命令。得到时钟源列表,使用get_clocks命令。返回所有与特定时钟相关的序列单元,使用all_registers命令。取消create_clock,使用remove_clock命令。多条件多模式支持:该命令仅使用当前方案中的信息。范例:【不规则占空比】在端口PHI1创建时钟周期为10,上升在5.0,下降在9.5. create_clock “PHI1” period 10 waveform 5.0 9.5【特殊】在端口PHI2创建时钟周期为10,下降在5,上升在10 create_cl

12、ock “PHI2” period 10 waveform 10 15【周期内多脉冲】在接脚u12/Z创建时钟CLK,周期25,下降在0.0,上升在5.0,下降在10.0,上升在15.0.create_clock “u13/Z” name “CLK” period 25 waveform 5 10 15 25 【典型,但是是一个虚拟时钟】创建一个虚拟时钟PHI2,周期10,上升在0.0,下降在5.0 create_clock name “PHI2” period 10 waveform 0.0 5.0【更为复杂】创建多源复合波形时钟create_clock name “clk2” period

13、 10 waveform 0.0 2.0 4.0 6.0 clkgen1/Z clkgen2/Z clkgen3/Z(注解:相当于在三个端子上都创建了同一个形态的时钟。这个时钟具有周期10,在0时刻上升,在2时刻下降,在4时刻上升,在6时刻下降。在10时刻上升. 也就是说,10时刻的动作,等同于0时刻。后面的话就是周期的复制了。)更多:all_clocks, all_registers, check_timing, compile, current_design, get_clocks, group_path, remove_clock, reset_design, set_clock_lat

14、ency, set_clock_uncertainty, set_dont_touch_network, set_fix_hold, set_max_delay, set_output_delay, set_propagated_clockcreate_generated_clock创建一个生成时钟语法:string create_generated_clock-name clock_name-addsource_objects-source master_pin-divide_by divide_factor | multiply_by multiply_factor-duty_cycle

15、percent-invert-preinvert-edges edge_list-dege_shift dege_shift_list-combinational数据类型:clock_name 字符source_objects 列表master_pin 列表clock 字符divide_factor 整数multiply_factor 整数percent 浮点edge_list列表edge_shift_list列表参数:-name clock_name指定生成时钟的名称。如果你不使用本选项,时钟接受与第一个时钟的-source设定的名称相同的名称。如果你使用-add选项,你必须使用-name选

16、项,并且不能与源时钟有相同的名称。-add指定是否增加这个时钟到已经存在的时钟中或将其覆盖。使用本选项去截取相同源的复合时钟。理想状态,一个生成时钟必须设定为每个时钟扇出的源接脚。如果你使用该选项,必须同时使用-name选项。定义相同源接脚或端口的复合时钟,会增加运行时间和需要更多的内存,因为时序综合引擎搜索启动与截取组合的所有可能。使用set_false_path取消不希望有的组合。默认状态,忽略该选项,除非将timing_enable_multiple_clock_per_reg变量设为true打开复合时钟分析.source_objects指定一组端口或接脚定义为要产生的生成时钟源。-so

17、urce master_pin指定主时钟接脚,可以是一个主时钟源接脚或被主时钟驱动的一个接脚,并且是驱动生成时钟定义的接脚。主接脚的时钟波形用于驱动生成时钟波形。-master_clock clock如果多个时钟扇入这个主接脚,指定主时钟用于这个生成时钟。-divider_by divide_factor指定频率被除数。如果divide_factor为2,生成时钟周期是主时钟周期的两倍。-multiply_by multiply_factor指定频率倍数。如果multiply_factor为3,则生成时钟周期为原先的三分之一。-duty_cycle percent指定倍频使用时的占空比。允许0

18、-100之间的数值。占空比是高电平脉冲宽度。-invert反转生成时钟信号,不管主接脚上,源时钟反应或判断(sense)是单因素决定(unate)还是非单因素决定(non-unate)(遇到倍频时)。-preinvert创建一个生成时钟基于反转的时钟信号,仅当主接脚源时钟有非单因素决定反应,或生成时钟不被反转,仅当该选项没有被指明时。-invert与-preinvert不同之处在于,-invert先创建生成时钟后反转信号,而preinvert是先反转信号,再创建生成时钟。-edges edge_list指定正整数列表,代表形成生成时钟沿的源时钟沿。沿解释为交替变化的上升和下降沿,并且每个沿不小

19、于它之前的沿。沿的数值必须是不小于3的奇数,用来创建一个完整的生成时钟的波形。第一个沿必须大于或等于1。比如,1代表第一个源边沿,2代表第二个源边沿,依次类推。-edge_shift edge_shitf_list指定浮点数列表代表偏移量,指定的沿经过变化产生最终的生成时钟波形,单位与库时钟单位相同。指定的沿偏移量必须等于沿指定的数值。数值可以为正或负。正数表示延后,负的表示提前。比如,1代表相应的沿被延后了1个时间单位。-combinational指定源滞后路径,作用于某个类型的生成时钟,其包含主时钟传播组合路径上的逻辑。源滞后路径不会通过序列单元的时钟接脚,透明锁存器数据接脚,或其他生成时

20、钟的源接脚。描述:create_generated_clock命令在当前设计中创建一个生成时钟。该命令定义一个对象列表作为当前设计生成时钟源。你可以指定接脚或端口作为生成时钟对象。命令同时指定被生成时钟源。使用该命令的优势在于,无论何时主时钟发生改变,生成时钟也同时发生改变。生成时钟可以进行变频处理,降低频率时使用-divide_by选项,增加频率时使用-multiply_by选项,沿派生使用-edge选项。另外,频率在除或乘时也可以用-invert选项进行反转。沿派生时钟的沿偏移使用-edge_shift选项。-edge_shift使用在对沿的故意偏移,而非时钟滞后。如果生成时钟使用divi

21、de_factor是2的幂,主时钟上升沿被用来决定生成时钟的沿。如果divider_factor不是2的幂,则是从对主时钟沿尺寸改变得来。对已有的generated_clock使用create_generated_clock,会覆盖已有的generated_clock属性。generated_clock在时序分析时被扩展为真实的时钟。以下命令能够引用generated_clock:set_clock_latencyset_clock_uncertaintyset_propagated_clockset_clock_transition显示有关生成时钟的信息使用report_clock命令。多条

22、件多模式支持:该命令仅使用当前方案中的信息。范例:创建频率-divide_by 2的生成时钟create_generated_clock divide_by 2 source CLK get_pins foo创建频率-divide_by 3的生成时钟。主时钟周期为30,主波形为24 36,则生成时钟周期为90,波形为72 108create_gneraged_clock divide_by 3 source CLK get_pins div3/Q创建频率-multiply_by 2的生成时钟,占空比为60%。create_generated_clock multiply_by 2 duty_c

23、ycle 60 source CLK get_pins foo1创建频率-multiply_by 3的生成时钟,占空比不变。如果主时钟周期为30,主波形为24 36,生成周期为10,波形为8 12create_gnerated_clock multiply_by 3 source CLK get_pins div3/Q创建生成时钟沿为主时钟的1,3,5沿。如果主时钟周期为30,波形24 36,生成时钟周期为60,波形为24 54create_generated_clock edges 1 3 5 source CLK get_pints foo2与上个例子相似,但沿偏移1个单位。如果主周期为3

24、0,主波形为24 36,生成时钟周期为60,波形为25 55create_generated_clock edges 1 3 5 edge_shift 1 1 1 source CLK get_pins foo2创建一个反转时钟create_generated_clock divider_by 2 invert更多check_timing, create_clock, get_generated_clocks, remove_generated_clock, report_clock, set_clock_latency, set_clock_unertainty, set_propagate

25、d_clock, timing_enable_multiple_clocks_per_regset_clock_groups指定设计中,时钟组互相排斥或彼此异步。因此,这些时钟之间的路径不会在时序分析时被考虑。语法:Boolean set_clock_groups-physically_exclusive|-logically_exclusive|-asynchronous-allow_paths-name name-group clock_list参数:-physicall_exclusive指定时钟组在物理上彼此排斥。物理排斥时钟不能同时存在在实际物理设计中。比如多个时钟定义在同一个源接脚

26、。-physical_exclusive, -logically_exclusive和-asynchronous选项是相互排斥的,你只能选择其中一个。-logicall_exclusive两个时钟排斥类型,一个是物理排斥,另一个是逻辑排斥。逻辑排斥的例子比如MUX多路选择。但如果存在物理路径,就不推荐对多路选择时钟进行排斥设定。-physically_exclusive, -logically_exclusive和-asynchronous选项是互相排斥的,你只能选择其中一个。-asynchronous指定时钟组是彼此异步的。两个时钟是异步的如果它们彼此之间没有相位关系。信号完整性分析使用一个

27、无限到达窗口给干扰源,除非所有到达窗口的受噪声干扰的连线和干扰源的连线由同步时钟控制。-physically_exclusive, -logically_exclusive和-asynchronous选项是互相排斥的,你只能选择其中一个。-allow_paths允许在指定的时钟组之间进行时序分析。如果这一选项没有被使用,在时钟之间的时序分析会被禁止。该选项仅可以用于异步时钟组。-name name指定被创建时钟组的名称。每个命令只能指定一个唯一的名称,这些名称指明指定时钟组的排斥或异步关系,并且这个名称之后也便于对时钟组定义的删除。默认情况下,命令创建一个唯一的名称。-group clock_

28、list指定一个时钟列表。你可以多次使用-group选项在一条执行命令中。每个-group循环设定一组时钟,与其他所有时钟组是排斥或异步关系。如果仅有一个组被指定,这意味着该组与其他所有时钟是排斥或异步的。包含其他时钟的另一个组也同时会产生。无论何时一个新的时钟被创建,它会自动地加入到这个组。给clock_list替换上你希望的列表。描述:指定设计中的时钟组彼此排斥或异步。这些时钟之间的时序路径在时序分析时不会被考虑,除非使用-allow_paths选项。一条set_clock_groups执行命令不能对同一时钟定义多次,但可以多次使用该命令把它加入到多个分组中。两个不同类型的互斥时钟在简单时

29、序分析时不会视为不同。然而,信号完整性分析会在时序窗口视逻辑排斥时钟为异步。物理排斥时钟不会被时序窗口分析所考虑。这些排斥或异步时钟之间的路径不会在时序分析时被搜索,除非使用-allow_path设定。这类似于在时间之间设定禁止路径(false path)。因此你无需人为的再次设定禁止路径。如果一个在两个排斥或异步时钟之间禁止路径已经设定,那么set_clock_groups产生的禁止路径会将其覆盖。其他不会受影响。当时钟彼此异步,在时序窗口重叠分析时,串扰分析忽略之间的时序关系。这一情形也可称为无限窗口重叠。对于同步时钟没有无限窗口重叠,结果会变得乐观。所以当时钟不彼此同步时,使用set_c

30、lock_groups asynchronous是十分重要的。当一些排斥或异步时钟组被定义时,一个生成时钟和它的主时钟默认不在同一分组中。如果有必要,你必须明确地将他们让在一起。如果多个时钟组关系为相同成对时钟而定义,物理排斥有最高优先级,其次是异步和逻辑排斥。取消set_clock_groups设定,使用remove_clock_groups命令。报告设计中的时钟分组,使用report_clock命令加-groups选项。多条件多模式支持:该命令从当前方案中读取信息范例:定义两个异步时钟域set_clock_groups asynchronous name g1 group CLK1 group CLK2定义一个名为CLK1的时钟作为与其他时钟异步的时钟set_clock_groups asynchronous group CLK1显示同时分析多个时钟每个寄存器而无需手工设定禁止路径。假设有两对相互排斥的时钟被复用:CLK1和CLK2,CLK3和CLK4每对时钟

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1