ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:206.87KB ,
资源ID:6363772      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6363772.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的函数发生器.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的函数发生器.docx

1、基于FPGA的函数发生器基于FPGA的函数发生器基于FPGA的智能函数发生器的设计学生姓名:X X学生学号:2 0 XXXXXXXXX 一、设计要求1.设计一个能产生递增、递减斜波,方波,三角波,正弦波,阶梯波智能函数发生器;2.要求能自主选择输出波形,并能调整输出频率。二、设计原理递增、递减斜波是以一定常数递增、递减来产生的。三角波的产生是在输出波形的前半周期内从0累加到最大值255(8位),在后半周期从最大值递减到0来实现的。阶梯波阶梯波是以一定的常数递增的。正弦波的产生原理是基于奈奎斯特采样定律,先对模拟信号采集,经过量化后存入查表中,再由相位累加器产生地址,通过对查表寻址,得到离散化波

2、形序列,最后经过D/A转换输出模拟波形。方波的产生是在输出波形的前半周期输出低电平,后半周期输出高电平,从而得到占空比为50%的方波信号。通过所设计的智能函数发生器可以得到递增、递减斜波,方波,三角波,正弦波和阶梯波六种波形,这些波形的产生都是通过FPGA的核心芯片,各种运算都在FPGA中进行,直接输出选择的波形。三、设计内容与步骤设计的智能函数发生器就是为了得到得到递增、递减斜波,方波,三角波,正弦波和阶梯波六种波形,可以通过按钮来选择输出波形,并且具有复位的功能。智能函数发生器总体框图如图1.1所示;图中输入CLK为时钟信号,用于调整输出波形的频率;输入RESET为复位信号;输入SEL2.

3、0为选择信号,用于选择输出波形;输出Q接在D/A转换的数据端,就可以在D/A转换器的输出端得到各种不同的函数波形。图1.1 智能函数发生器总体框图1.递增斜波模块的设计:递增斜波模块ZENG见图1.2。它是递增斜波产生模块。图1.2 模块ZENG递增斜波模块ZENG的VHDL程序设计:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ZENG IS PORT(CLK,RESET:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END Z

4、ENG;ARCHITECTURE ZENG_ARC OF ZENG IS BEGIN PROCESS(CLK,RESET) VARIABLE TMP:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF RESET=0 THEN TMP:=00000000; ELSIF CLKEVENT AND CLK=1 THEN IF TMP=11111111 THEN TMP:=00000000; ELSE TMP:=TMP+1; END IF; END IF; Q=TMP; END PROCESS;END ZENG_ARC;2.递减斜波模块的设计:递减斜波模块JIAN见图1.3

5、。它是递减斜波产生模块。图1.3 模块JIAN递减斜波模块ZENG的VHDL程序设计:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JIAN IS PORT(CLK,RESET:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END JIAN;ARCHITECTURE JIAN_ARC OF JIAN IS BEGIN PROCESS(CLK,RESET) VARIABLE TMP:STD_LOGIC_VECTOR(7 DOWNTO

6、 0); BEGIN IF RESET=0 THEN TMP:=11111111; ELSIF CLKEVENT AND CLK=1 THEN IF TMP=00000000 THEN TMP:=11111111; ELSE TMP:=TMP-1; END IF; END IF; Q=TMP; END PROCESS;END JIAN_ARC;3.三角波模块的设计:三角波模块DELTA见图1.4。它是三角波产生的模块。图1.4 模块DELTA三角波模块DELTA的VHDL程序设计:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOG

7、IC_UNSIGNED.ALL;ENTITY DELTA IS PORT(CLK,RESET:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END DELTA;ARCHITECTURE DELTA_ARC OF DELTA IS BEGIN PROCESS(CLK,RESET) VARIABLE TMP:STD_LOGIC_VECTOR(7 DOWNTO 0); VARIABLE A:STD_LOGIC; BEGIN IF RESET=0 THEN TMP:=00000000; ELSIF CLKEVENT AND CLK=1 THEN IF

8、 A=0 THEN IF TMP=11111110 THEN TMP:=11111111;A:=1; ELSE TMP:=TMP+1; END IF; ELSE IF TMP=00000001 THEN TMP:=00000000;A:=0; ELSE TMP:=TMP-1; END IF; END IF; END IF; Q=TMP; END PROCESS;END DELTA_ARC;4.阶梯波模块的设计:阶梯波模块LADDER见图1.5。它是阶梯波产生的模块,改变递增的常数,可改变阶梯的多少。图1.5 模块LADDER阶梯波模块LADDER的VHDL程序设计:LIBRARY IEEE;U

9、SE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY LADDER IS PORT(CLK,RESET:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END LADDER;ARCHITECTURE LADDER_ARC OF LADDER IS BEGIN PROCESS(CLK,RESET) VARIABLE TMP:STD_LOGIC_VECTOR(7 DOWNTO 0); VARIABLE A:STD_LOGIC; BEGIN IF RESET=0 THEN

10、TMP:=00000000; ELSIF CLKEVENT AND CLK=1 THEN IF A=0 THEN IF TMP=11111111 THEN TMP:=00000000;A:=1; ELSE TMP:=TMP+16;A:=1; END IF; A:=0; END IF; END IF; Q=TMP; END PROCESS;END LADDER_ARC;5.正弦波模块的设计:正弦波模块SIN见图1.6。它是正弦波产生的模块。图1.6 模块SIN正弦波模块SIN的VHDL程序设计:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.S

11、TD_LOGIC_UNSIGNED.ALL;ENTITY SIN IS PORT(CLK,CLR:IN STD_LOGIC; D:OUT INTEGER RANGE 0 TO 255);END SIN;ARCHITECTURE SIN_ARC OF SIN IS BEGIN PROCESS(CLK,CLR) VARIABLE TMP:INTEGER RANGE 0 TO 63; BEGIN IF CLR=0 THEN DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDNULL; END CASE; END IF;

12、END PROCESS;END SIN_ARC;6.方波模块的设计:方波模块SQUARE见图1.7。它是方波产生的模块。图1.7 模块SQUARE方波模块SQUARE的VHDL程序设计:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SQUARE IS PORT(CLK,CLR:IN STD_LOGIC; Q:OUT INTEGER RANGE 0 TO 255);END SQUARE;ARCHITECTURE SQUARE_ARC OF SQUARE ISSIGNAL A:BIT;

13、 BEGIN PROCESS(CLK,CLR) VARIABLE CNT:INTEGER RANGE 0 TO 63; BEGIN IF CLR=0 THEN A=0; ELSIF CLKEVENT AND CLK=1 THEN IF CNT63 THEN CNT:=CNT+1; ELSE CNT:=0;A=NOT A; END IF; END IF; END PROCESS; PROCESS(CLK,A) BEGIN IF CLKEVENT AND CLK=1 THEN IF A=1 THEN Q=255; ELSE QQQQQQQNULL; END CASE; END PROCESS;EN

14、D CH61A_ARC;四、设计工具 计算机一台,Quartus 软件五、设计结果1.若输入时钟信号CLK为100MHz,复位信号RESET=1;当选择信号SEL=000时,输出Q应为递增斜波,如图1.9所示:图1.9 递增斜波 注:仿真为时序仿真,所以输出波形存在瑕疵。2.若输入时钟信号CLK为100MHz,复位信号RESET=1;当选择信号SEL=001时,输出Q应为递减斜波,如图1.10所示:图1.10 递减斜波 注:仿真为时序仿真,所以输出波形存在瑕疵。3.若输入时钟信号CLK为100MHz,复位信号RESET=1;当选择信号SEL=010时,输出Q应为三角波,如图1.11(a)、1.

15、11(b)所示:图1.11(a) 三角波最高点处图1.11(b) 三角波最低点处 注:仿真为时序仿真,所以输出波形存在瑕疵。4.若输入时钟信号CLK为100MHz,复位信号RESET=1;当选择信号SEL=011时,输出Q应为递增常数为20(十进制)的阶梯波,如图1.12所示:图1.12 递增常数为20(十进制)的阶梯波 注:仿真为时序仿真,所以输出波形存在瑕疵。5.若输入时钟信号CLK为100MHz,复位信号RESET=1;当选择信号SEL=100时,输出Q应为正弦波,如图1.13所示:图1.13 正弦波 注:仿真为时序仿真,所以输出波形存在瑕疵。6.若输入时钟信号CLK为100MHz,复位信号RESET=1;当选择信号SEL=101时,输出Q应为方波,如图1.14所示:图1.14 方波 注:仿真为时序仿真,所以输出波形存在瑕疵。六、结论 经过程序仿真后,因为仿真为时序仿真,输出波形存在瑕疵;观察得到的输出波形,得出该智能函数发生器可行。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1