ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:514.90KB ,
资源ID:6074995      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6074995.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(quartusII软件做4的位乘法器设计vhdl语言.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

quartusII软件做4的位乘法器设计vhdl语言.docx

1、quartusII软件做4的位乘法器设计vhdl语言quartus-II-软件做4的位乘法器设计(vhdl-语言) 用quartus II 软件设计4位乘法器1. 并行乘法的算法: 下面根据乘法例题来分析这种算法,题中M4,M3,M2,M1是被乘数,用M表示。N4,N3,N2,N1是乘数,用N表示2.乘法模块Library ieee; Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity and4a is Port(a:in std_logic_vector(3 downto 0); en:in std_logic

2、; r:out std_logic_vector(3 downto 0);End and4a;Architecture behave of and4a isBegin Process(en,a(3 downto 0) Begin If (en=1) then r=a; Else r=0000; End if; End process;End behave;3.加法模块Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity ls283 is Port (o1,o2:in std_logic_v

3、ector(3 downto 0); res:out std_logic_vector(4 downto 0);End ls283;Architecture behave of ls283 isBegin Process(o1,o2) Begin res=(0&o1)+(0&o2); End process;End behave;4.主程序Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity mul4p is Port (op1,op2:in std_logic_vector(3 down

4、to 0); result:out std_logic_vector(7 downto 0);End mul4p;Architecture count of mul4p is component and4a port (a:in std_logic_vector(3 downto 0); en:in std_logic; r:out std_logic_vector(3 downto 0);End component;Component ls283 port (o1,o2:in std_logic_vector(3 downto 0); res:out std_logic_vector(4 d

5、ownto 0);End component;Signal sa:std_logic_vector(3 downto 0);Signal sb:std_logic_vector(4 downto 0);Signal sc:std_logic_vector(3 downto 0);Signal sd:std_logic_vector(4 downto 0);Signal se:std_logic_vector(3 downto 0);Signal sf:std_logic_vector(3 downto 0);Signal sg:std_logic_vector(3 downto 0);-sig

6、nal tmp1:std_logic;Begin sg=(0&sf (3 downto 1); -tmp1op2,en=op1(1),r=se); U1:and4a port map(a=op2,en=op1(3),r=sa); U2:ls283 port map(o1=sb(4 downto 1),o2=sa,res=result(7 downto 3); U3:and4a port map(a=op2,en=op1(2),r=sc); U4:ls283 port map(o1=sc,o2=sd(4 downto 1),res=sb); u5:ls283 port map(o1=sg,o2=se,res=sd); u6:and4a port map(a=op2,en=op1(0),r=sf); result(0)=sf(0); result(1)=sd(0); result(2)=sb(0); -result(7 downto 0)=00000000;End count;5.仿真波形图6.引脚分配图7.硬件下载编程与硬件实现 在实现硬件测试时,选择8个按键作为4个数据的输入信号,用8个LED灯作为运算结果数据输出指示,每4个数作为一个二进制数值,通过LED灯的亮灭来显示乘法的运算结果。 加油哦!开心每一天!(*_*)

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1