ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:114.17KB ,
资源ID:6058750      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6058750.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA技术及应用.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA技术及应用.docx

1、EDA技术及应用EDA技术及应用课程设计报告题 目:简单步进电机控制系统设计 院 (系): 机电与自动化学院 专业班级: 自动化1104 学生XX: X凯 学 号: 指导教师: 段丽娜 2016年6月 15日至2014年 6 月20日华中科技大学武昌分校制EDA技术及应用课程设计任务书一、设计题目简单步进电机控制系统设计二、设计主要内容 (1) 控制要求本课程设计要求对三相四线制的步进电机进行控制,要求编写程序完成步进电机的转速及专向的控制,能够实现正转的三档转速控制及反转的三档速度控制。 根据控制要求,编写VHDL程序实现简单控制功能; 在电机运转过程中,能够实现简单的速度控制,速度控制分三

2、档:慢,快; 转速在程序中设定,由按键控制;此外还要求由一个按键实现转向控制; 主控芯片由于功耗要求,其输出电流较小,驱动能力不够,因此必须设计驱动电路驱动步进电机的旋转。(2) 设计要求 设计FPGA的基础工作电路 设计直流电机,驱动电路芯片及FPGA芯片的硬件电路连接 设计输入控制部分硬件电路 程序下载并进行硬件调试 (3)撰写说明书 含系统硬件设计电路 含软件设计(程序及必要的说明) 含软件仿真及生成的顶层电路 调试及调试结果,以及在调试过程中出现的问题及解决办法三、原始资料(1) 三相四线制步进电机的驱动原理为当不同绕组一次轮流接通驱动电路时,会产生磁场从而带动步进电机旋转一个步次,当

3、改变绕组接通次序则会改变其转向,当改变绕组接通频率则可改变其运行速度。(2) 本系统的主要控制目标是转速和转向,通过输入按键接通与否可以判定其控制目标。因此控制转向需一个按键,而控制速度则需两个按键。(3) EP3C10E144C8芯片只能输出3.3V电压,其驱动能力有限,因此必须有外接电路为直流电机提供足够的驱动电流。 四、要求的设计成果在QuartusII软件中新建原理图文件,编译,仿真,锁定管脚并下载到目标芯片。 (1)根据设计要求, 设计系统的原理框图,说明系统中各主要组成部分的功能; (2) 在QuartusII软件中,编写各个模块VHDL源程序;并上机调试通过; (3) 根据软件编

4、好用于系统仿真的测试文件;(4) 编好用于硬件验证的管脚锁定文件;(5) 记录系统各个模块仿真结果; (6) 记录调试过程中出现的问题及解决办法。注意:基本要求学生必须完成,学有余力的学生可以在基本要求完成的前提下,选择其它方案完成设计。一般来说,生成的硬件电路越简单考查评价就越高。五、进程安排序号课程设计内容学时分配备注1集中学生学习课程设计的关键理论知识、分配设计任务、明确设计要求、查找资料等。0.5天2根据任务的要求进行方案构思,初选方案,绘制系统原理框图并与指导教师讨论,方案定稿。 0.5天3完成各模块的VHDL程序设计、编译和时序仿真2天4编程、下载,结合硬件平台,进行调试。完成顶层

5、文件图绘制,对编制好的文件交给老师检查,并按照老师要求修改。1天5撰写课程设计说明书0.5天6答辩及验收课程设计0.5天合计5天六、主要参考资料1 侯伯享. VHDL硬件描述语言与数字逻辑电路设计. XX:XX电子科技大学出版,20102 潘松. EDA技术实用教程. XX:电子科技大学,20103李玉山. 电子系统集成设计技术. :电子工业,2010.6.4 李国丽.EDA与数字系统设计.:机械工业,20095 周彩宝.VHDL语言及其应用. XX:华东计算机技术研究所:20096 谭会生.EDA技术中和应用实例与分析.XX:XX电子科技大学,2008 指导教师(签名): 20 年 月 日1

6、 课程设计要求及目的11.1 课程设计任务11.2 课程设计要求11.3 课程设计目的12 课程设计主要内容12.1 系统硬件原理图12.2系统硬件接线图12.3三相四线制异步电机22.4 驱动电路32.5 软件设计32.5.1 源程序32.5.2 功能仿真图52.5.3 仿真电路图63 调试结果及分析74 课程设计总结85参考文献9 1 课程设计要求及目的1.1课程设计任务用EDA技术对三相四线制步进电机进行控制。1.2 课程设计要求本课程设计要求对三相四线制的步进电机进行控制,要求编写程序完成步进电机的转速及专向的控制,能够实现正转的三档转速控制及反转的三档速度控制;在电机运转过程中,能够

7、实现简单的速度控制,速度控制分三档:慢,快; 转速在程序中设定,由按键控制;此外还要求由一个按键实现转向控制;主控芯片由于功耗要求,其输出电流较小,驱动能力不够,因此必须设计驱动电路驱动步进电机的旋转。1.3 课程设计目的 了解三相四线制步进电机工作原理;熟练使用VHDL语言编程。2 课程设计主要内容2.1 系统硬件原理图系统原理图如图2-1所示:图2-1 系统原理图2.2 系统硬件接线图系统硬件接线图如图2.2所示: Step motorGNDVcc图2-2 系统硬件接线图2.3三相四线制步进电机三相四线制步进电机的驱动原理为当不同绕组一次轮流接通驱动电路时,会产生磁场从而带动步进电机旋转一

8、个步次,当改变绕组接通次序则会改变其转向,当改变绕组接通频率则可改变其运行速度。在这里,我采用单四拍控制。通过一个按键来控制电机的正反转,两个按键来控制电机的调速。三相四线制步进电机的单四拍正反转数字控制模型如表一、表二所示:表一 单四拍正转数字控制模型步序D相C相B相A相字模PB3PB2PB1PB01000101H2001002H3010004H4100008H表二 单四拍反转数字控制模型步序D相C相B相A相字模PB3PB2PB1PB01100008H2010004H3001002H4000101H2.4 驱动电路EP3C10E144C8芯片只能输出3.3V电压,其驱动能力有限,因此必须有外

9、接电路为步进电机提供足够的驱动电流。驱动芯片选择ULN2003。ULN2003是高耐压、大电流达林顿陈列,由七个硅NPN达林顿管组成。该电路的特点如下:ULN2003的每一对达林顿都串联一个2.7K的基极电阻,在5V的工作电压下它能与TTL和CMOS电路直接相连,可以直接处理原先需要标准逻辑缓冲器。,具有电流增益高、工作电压高、温度X围宽、带负载能力强等特点,适应于各类要求高速大功率驱动的系统。ULN引脚如图2-3: 图2-3 ULN引脚图2.5 软件设计2.5.1 源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_u

10、nsigned.all;entity step is port(clk , rst : in std_logic; -状态机工作时钟和复位信号 a : in std_logic; -转向开关 KEY : in std_logic_vector(1 DOWNTO 0); -调速开关 y : out std_logic_vector(3 downto 0);end step;architecture bhv of step istype states is(s0,s1,s2,s3); -数据类型定义,定义状态符号signal c_st,next_st:states;-将现态和次态定义为新的数据类型

11、statessignal clkns:std_logic;signal q:integer range 0 to 65535;beginreg:process(clk) - 主控时序进程 variable n:integer range 0 to 65535;beginif rst=1 then c_st=s0;-检测异步复位信号,复位信号后回到初态s0 elsif clkevent and clk=1 then if(qn) then q=q+1;else q=0; c_sty=0001;next_sty=0010;next_sty=0100;next_sty=1000;next_stnex

12、t_sty=1000;next_sty=0100;next_sty=0010;next_sty=0001;next_stnext_st=s0; end case; end if;end process;end bhv;2.5.2 功能仿真图功能仿真如图2-4所示:图2-4 功能仿真图2.5.3 仿真电路图软件仿真电路如图2-5所示: 图2-5 仿真电路图3 调试结果及分析我们在quartus编译好程序后,先进行了功能仿真,加入输入信号,观察其输出波形按照想像中的变化,结果进行了时序仿真,输出波形,有少许毛刺,对程序进行了一些优化后,下载到试验箱中区去,开始电机需要碰一下才可以转动,原来是线没有

13、插紧,检查之后,对于电机有时在左右摆动,开始以为是用双四拍不行,接着改为单四拍,发现问题依旧存在,我们仔细研究后,认为是引脚号分配错误,当我们重新测试电机与EDA芯片的连接后,重新修改了引脚分配,这次电机按照我们预想的那样运行:一个键控制电机的方向,另外两个键对电机的转速进行控制,从而实现了三相四线制步进电机的正方转以及低、中、高三种速度的调节。4 课程设计总结 这次EDA课程设计是用quartus开发软件及VHDL语言编程实现的。由于这次课程设计采用实验室的试验箱,所以我们并没像以前那样焊板子,再硬件方面并没有得到很好的锻炼,其实我更希望学校能够将所需元件给我们,让我们自行设计硬件电路,这样

14、我们就会做出自己的软件部分,而不是被实验箱的硬件部分所局限。 在编程方面,由于使用VHDL语言编程,使得程序可读性大大增强。我们通过研究教材上的一些经典程序,以及上网查找资料,了解了ULN2003芯片的工作原理,然后再进行编程,在这之前我们从未接触过,毫无疑问增强了同学的自学能力。=。在调试方面,要熟悉quartus软件的使用方法,首先要选对接口,其次要选对设备,再就是要勾选硬件调试,才能下载成功。每次停止时,要按复位按钮。这次课程设计,让我积累了不少经验。比如步进电机的工作原理,它的供电时序决定了转子的运转方向,步进电机的应用非常广泛,通过学习可以为以后的工作打基础。在此过程中培养了我在实践

15、中研究问题,分析问题和解决问题的能力以及培养了良好的设计素质,如团队精神、交流能力、独立思考、测试前沿信息的捕获能力等;提高了自己动手能力,培养理论联系实际的作风,增强创新意识,使我更加深刻的理解了设计原理。5 参考文献1 侯伯享. VHDL硬件描述语言与数字逻辑电路设计. XX:XX电子科技大学出版,2010.2 潘松. EDA技术实用教程. XX:电子科技大学,2010.3 李玉山. 电子系统集成设计技术. :电子工业,2010.6.4 李国丽.EDA与数字系统设计.:机械工业,2009.5 周彩宝.VHDL语言及其应用. XX:华东计算机技术研究所:2009.6 谭会生.EDA技术中和应用实例与分析.XX:XX电子科技大学,2008.课程设计成绩评定表成绩评定项 目比例得 分平时成绩(百分制记分)30%业务考核成绩(百分制记分)70%总评成绩(百分制记分)100%评定等级优 良 中 及格 不及格指导教师(签名):20 年 月 日

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1