ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:180.22KB ,
资源ID:6030870      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6030870.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(病床呼叫系统电子课程设计实验报告.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

病床呼叫系统电子课程设计实验报告.docx

1、病床呼叫系统电子课程设计实验报告唐 山 学 院 电子技术 课 程 设 计 题 目 病床呼叫系统设计 系 (部) 机电工程系 班 级 机制四班 姓 名 杨柏 学 号 4120105407 指导教师 王志秦 成凤敏 2014 年 12 月 15 日 至 12 月 19 日 共 1 周2014年 12 月 19 日课程设计成绩评定表出勤情况出勤天数 缺勤天数成绩评定出勤情况及设计过程表现(20分)课设答辩(20分)说明书(20分)设计成果(40分)总成绩(100分)提问(答辩)问题情况综合评定 指导教师签名: 年 月 日目 录1 引言.12 系统总体方案设计.23 软件介绍.6 3.1 Multis

2、im简介.6 3.2 Multisim10.0概述.6 3.3 Multisim10.0 仿真方法介绍.6 3.4 Multisim10.0的界面.74 主要器件简介.8 4.1 74LS148编码器.8 4.2 74LS248译码器.8 4.3 7段共阴极数码管.85 仿真结果演示.9 5.1 0号床位呼叫时显示.9 5.2 8和9号床位同时呼叫显示.10 5.3 无床位呼叫时显示.116 设计结论.12 4.1 能实现的功能.12 4.2 功能模块.12 4.3 功能实现简介.12 4.4 设计定义.127 设计体会.138 参考文献.149 附录.15 9.1 器件明细表.15 9.2

3、仿真结果演示.161 引言在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平的必备设备之一。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。医院已经从人工管理模式向智能化方向发展。“病床呼叫

4、系统”可以实现对病房的智能化管理,可实现呼叫、求救警报、信息存储、显示等等功能。患者在住院期间,可能会在任意时间请求医生或护士进行诊断或护理。病床求助呼叫是传送临床信息的重要手段,病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,要将患者的请求快速传给值班医生或护士,并在护士站的监控中心留下准确完整的记录,是提高医院和病室护理的重要组成部分。临床求助呼叫在病人的治疗过程中起到至关重要的作用,而病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,此系统可将病人的请求快速的传送给值班护士,值班护士根据具体情况作出相应的处理,病床呼叫系统是提高医院病房护理水平和质量的必备设备之一。

5、呼叫系统的优劣直接关系到病人的安危,历来受到各大医院的普遍重视。它要求及时、准确、可靠、简便操作、利于推广。系统的呼叫按钮放在病房内,显示装置安装在护士值班室内,两者通过电线相连接在一起。当病房中的任意患者有呼叫请求时,按下呼叫按钮,在护士值班室会进行声光报警,并在其显示器以及显示灯上显示病房的号码。本系统用两个74LS148和一个74LS248,外接一个数码管显示器和报警器来实现病床呼叫系统。能够实现病人和医护人员之间信息的传递。每个病床都有一个按键,当患者有需要时,按下按键,此时 值班室的显示屏可显示此患者的病房号和床位号,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低

6、、效率高、操作方便和易于安装维护的快捷系统。2 系统总体方案设计1、 用优先编码器74LS148和附加门电路实现10病床呼叫系统,呼叫系统用数码管显示呼叫床位号。2、 用2片74LS148扩展成16线-4线的优先编码器,第一片74HC148输入优先级别高的8个输入,第二片输入优先级别低的8个输入,即:第一片的S接地;根据74HC148编码表,在第一片的输入A15-A8均无有效电平时,第二片工作,则将第一片的输出选通端Ys接第二片的输入选通端S端。3、由两片74HC148实现16线-4线优先编码器的编码表如下。表2-2 16线-4线优先编码器编码表输 入输 出S I0I1I2 I3 I4I5I6

7、 I7 Y2 Y1 Y0 YEX YS1 x x x x x x x x0 x x x x x x x 00 x x x x x x 0 10 x x x x x 0 1 10 x x x x 0 1 1 10 x x x 0 1 1 1 10 x x 0 1 1 1 1 10 x 0 1 1 1 1 1 10 0 1 1 1 1 1 1 10 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 04、逻辑电路图如下。

8、图2-1 两片74LS148实现16线-4线优先编码器逻辑图5、 选择哪10个输入作为本设计的输入,要考虑本设计要求用数码管显示床位号。假如使用共阴极数码管,则显示译码器应选择驱动共阴极数码管的显示译码器芯片。74LS248或7448就是用来驱动共阴极数码管的显示译码器芯片。74LS248或7448输入为4位8421码,即有4个输入端A0A1A2A3。允许的10种输入分别为0000、0001、0010、0011、0100、0101、0110、0111、1000、1001。将10线-4线优先编码器的输出作为74248或7448的输入时,只允许有上述10种输出。那么由10线-4线优先编码器编码表,

9、我们只能选择优先级别低的10种,而放弃优先级别高的6种。10个输入端分别按低电平有效制作开关电路。图2-2 开关电路图7、床位号码显示电路。将16线-4线优先编码器的输出Z0、Z1、Z2、Z3分别与显示译码器芯片74LS248或7448的输入端A0、A1、A2、A3连接,74LS248或7448的7个输出端Ya、Yb、Yc、Yd、Ye、Yf、Yg分别于共阴极数码管的7个阳极a、b、c、d、e、f、g连接。74LS248的其它附属端悬空不用。连接图如下图所示。图2-3 床位号码显示电路连接图 3软件介绍1、Multisim简介NI Multisim是一款著名的电子设计自动化软件,与NI Ulti

10、board同属美国国家仪器公司的电路设计软件套件。是入选伯克利加大SPICE项目中为数不多的几款软件之一。Multisim在学术界以及产业界被广泛地应用于电路教学、电路图设计以及SPICE模拟。Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计

11、,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。2、multisim10概述 通过直观的电路图捕捉环境, 轻松设计电路,通过交互式SPICE仿真, 迅速了解电路行为,借助高级电路分析, 理解基本设计特征,通过一个工具链, 无缝地集成电路设计和虚拟测试,通过改进、整合设计流程, 减少建模错误并缩短上市时间。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路

12、图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 3、multisim10仿真方法介绍 按照任务书上的要求,结合题型的目的,画出相应的电路框图。根据电路图选择器件连接电路。设计各个模块的功能以及其详细的电路连接方法。先在Multisim中将各个模块连接到一起,注意检查各个部分是否连接正确和连接端是否连接好。检查设计思路以及电路,按照设计框图原理,查看仿真电路与原

13、理图是否吻合。如果不吻合则需要就将其逐个问题解决,直到所有问题都解决了为止。反之就可以进行下一步。然后单击运行按钮运行仿真。根据仿真情况与课程设计任务对比,对于不能实现的任务修改并调试程序,重新装载并重新运行调试仿真,直到实现能完全实现所要求的功能为止。(注意所选择的芯片参数是否正确,是否连接正确,在测试看看各管脚的电平是否符合理论计算上达到的值,同时观察各个集成器件的各个管脚的电平变化情况,以及数码显示器的显示是否正确。如果不正确进一步改进和简化程序在进行调试仿真。4、Multisim10.0的界面图3-1 Multisim10.0的界面4主要器件简介1、74LS148编码器74ls148芯

14、片管脚:07编码输入端(低电平有效)EI选通输入端(低电平有效),A0、A1、A2三位二进制编码输出信号即编码输出端(低电平有效),GS片优先编码输出端即宽展端(低电平有效),EO选通输出端,即使能输出端。2、74LS248译码器七段显示译码器输入的是8421BCD码,输出的是能驱动七段显示器的高低电平信号。所以它有四个输入端,分别为D C B A和一些控制输入端。七个输出端分别是A、B、C、D、E、F、G。这类译码器全称为BCD七段译码器/驱动器。如74246、74247、74248、CC4547等。3、7段共阴极数码管led数码管(LED Segment Displays)由多个发光二极管

15、封装在一起组成“8”字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极。数码管实际上是由七个发光管组成8字形构成的,加上小数点就是8个。这些段分别由字母a,b,c,d,e,f,g,dp来表示。led数码管(LED Segment Displays)是由多个发光二极管封装在一起组成“8”字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极。led数码管常用段数一般为7段有的另加一个小数点,还有一种是类似于3位“+1”型。位数有半位,1,2,3,4,5,6,8,10位等等,led数码管根据LED的接法不同分为共阴和共阳两类,了解LED的这些特性,对编程是很重要的,因为

16、不同类型的数码管,除了它们的硬件电路有差异外,编程方法也是不同的。本设计用的是7段共阴极数码管。5仿真结果演示1、0号床位呼叫显示图5-1 0号床位呼叫显示图2、8和9号床位同时呼叫时的显示图5-2 8和9号床位同时呼叫时显示图3、无床位呼叫时显示图5-3 无床位呼叫时显示图6 设计结论1、能实现的功能 当有病人紧急呼叫时,产生声音提示,并显示病人的编号;根据病人的病情设计优先级别,当有多人呼叫时,病情严重者优先;医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其他呼叫病人的病号。 2、本设计分为四个主要功能模块病床呼叫模块,优先选择模块,译码显示模块,以及蜂鸣器模块。这些模块共同工作完

17、成本电路的功能实现。 3、功能实现简介其中运用了16线4线优先编码器74LS148D来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,7段字形译码器74LS248D和共阴极七段数码管来实现译码显示模块主要功能,运用蜂鸣器来实现呼叫模块。 信号呼叫由呼叫显示模块的各个呼叫开关发出,接着,信号传到优先选择模块,将优先级别最高的患者的信号选择出来,并传到呼叫模块与译码显示模块:呼叫模块通过电路令蜂鸣器鸣叫,同时译码显示模块通过小规模逻辑门集成电路与74LS148D将信号变换成对应的患者床号,并由七段数码管显示出来。当该病患治疗后,断开其对应的呼叫开关,此时该系统将显示下一名较高优先级的

18、病患床号。 4、设计定义用较为简单的数字电路实现了一个具有实际应用背景的病房呼叫系统的设计。7设计体会刚开始使用它的时候,有很多次仿真结果与实际差很多,刚开始给我的感觉很不好,经过王志秦和成凤敏两位老师的悉心指导以及这么长一段时间的使用和查资料解决相关问题,我终于明白了一句话,软件本身很不错,但是能不能得到比较精确的结果在于用的人,你会不会使用它。事实确实如此。不过仿真终究是在理想情况下对电路进行的模拟,与现实的结果会有较大的差距,但并不能否认它的用处,因为在熟悉之后就可以根据经验由仿真数据进行实际电路的评估与制作!所以工具本身很不错,但是能不能得到比较精确的结果在于用的人,你会不会使用它!但

19、是有一个问题也会随之而来,就是设计人员在设计电路的时候不会从Mulitisim中去查找合适的元件,而是根据要求与指标先查找合适的元件,然后再去验证自己的正确性,这样一来,就会有许多元件可能在Multisim中找不到,查找Multisim中相同参数的元件又很麻烦,怎么办呢?幸好Multisim可以创建仿真元件模型,否则的话,我们设计出来的东西就只有实际搭出来验证了,这样就会浪费很大的人力物力财力。下面我就说一下仿真模型的创建步骤。首先要准备好器件的Pspice模型,这是最重要的,没有这个东西免谈,当然Spice高手除外。下面就可以利用Multisim的元件向导功能制作自己的仿真元件模型了。将刚刚

20、做好的元件保存,你可能注意到了,保存的路径里面没有出现MasterDatabase,即主数据库,这就是Multisim做的较好的其中一方面,你无论是新建元件还是修改主数据库里面的元件,都不会影响主数据库里面的元件,选好路径以后点击Finish即可,一个新元件就被创建了。在本次电子技术课程设计中,无论是电路元件的选择还是电路的连接方式,都是我们自己筛选的。在这个过程中,理论结合实际,提高了我们的工程素质,系统调试能力,分析问题和解决问题的能力。通过在网上查找资料,也叫我更好的理解和认识了逻辑电路。我体会到了电路设计和制作的全过程,使我受益匪浅。从动手调试的过程中,增加了我们对这项技术的了解,使我

21、们体会到了只有踏踏实实学习,才能解决问题,才能让我们更能站在工作岗位上。作为学生面对的无非是同学、老师、家长,而工作后就要面对更为复杂的关系。无论是和领导、同事还是客户接触,都要做到妥善处理,要多沟通,并要设身处地从对方角度换位思考,而不是只是考虑自己的事。同时我也懂得了理论和实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正的学到知识,从而提高自己的实际动手能力和独立思考的能力。8参考文献1.王鸿明,段玉生.电工与电子技术.高等教育出版社,2009.122.阎石.数字电子技术基础.高等教育出版社,2009.23.吴俊芹.电子技术实训与课程设计.机械工业出版社,2009.49 附录1、附录1 器件明细表表9-1 附录器件明细表型号名称数目74ALS00M与非门3NC7S04-6V非门174LS148D10-4线优先编码器274LS248D七段字形译码器1七段共阴极数码管110K电阻1开关10蜂鸣器1直流稳压源5V32、附录2 仿真结果演示图9-2 1号病床呼叫时显示图

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1