ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:1.36MB ,
资源ID:6021326      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6021326.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(篮球比赛计时器的设计.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

篮球比赛计时器的设计.docx

1、篮球比赛计时器的设计成绩 课 程 设 计 说 明 书课程设计名称:电子技术课程设计题 目:篮球比赛计时器设计学 院:电气与电子信息学院学 生 姓 名:专 业:信息工程学 号:指 导 教 师:日期: 年 7 月 13 日篮球比赛计时器设计摘 要:本设计是简单应用脉冲数字电路对篮球比赛计时器进行设计,本设计能够对篮球比赛进行24秒为例处罚倒计时以及对每节比赛的12分钟进行倒计时。 本电路主要由五个模块构成:计数器、秒脉冲发生器、控制电路、译码显示电路和报警电路。控制电路直接控制计数器开始计数、暂停、连续计数等功能,译码显示电路的显示等功能。当控制电路的置数开关闭合时,在数码管上会显示数字24,启动

2、电路后每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警与蜂鸣信号。本设计所具备的功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。关键词:计数器,24秒倒计时,译码显示电路,控制电路,报警电路 Abstract:The design is simple application of pulse digital circuit design of basketball game timer. This design to the game of basketball to 24 seconds for cases

3、 of punishment countdown and on 12 minutes per game countdown.This circuit is mainly composed of five modules: counter, second pulse generator, control circuit, decoding display circuit and alarm circuit. Control circuit direct control counter to start counting, pause, continuous count and other fun

4、ctions, decoding display circuit display and other functions. When the control circuit of the switch is closed, in the digital tube can display the number 24, start circuit whenever a seconds pulse signal input to the counter, digital tube digital will automatic minus 1. When the timer is reduced to

5、 zero, the alarm circuit of a photoelectric alarm signal and the buzz. The function of this design has realized the function of time tracing in many special occasions. It also has a wide application value in social life.Keywords: Counter, 24 seconds countdown, decoding display circuit, control circu

6、it, alarm circuit1前言1.1 设计背景随着经济的发展,人们物质生活逐渐丰富,对精神文明的追求也同样不断提高,人们都希望拥有好的心态以及强健的体魄。于是人们通过体育运动来锻炼自己,特别是自从21世纪姚明进入NBA之后,中国便刮起了阵阵篮球风,青少年对篮球的热情也是日渐高涨。为此,在充分理解篮球比赛规则的基础上,设计出简易的篮球比赛计时器,此篮球计时器简单,精度高,充分体现了篮球比赛的公平公正,适合国家篮球运动普及和青少年篮球运动的发展。对我们专业的学生来说,这个课题比较适合现阶段的我们来设计。1.2 设计目标设计的计时器具有24秒倒计时跟12分钟倒计时功能的篮球比赛计时器篮球比

7、赛计时器,实际上是一种多功能倒计时装置,它包括12 min、24 s倒计时,按键启停功能和自动音响提示等。该电路主要由秒信号发生电路、启停电路、声响电路、门控电路、显示电路和计数电路等组成。1篮球比赛上下半场四节制,每节12 min,要求能随时暂停,启动后继续计时,一节比赛结束后可清零。2按篮球比赛规则,进攻方有24 s为倒计时。要求进攻方得到发球权后,必须在24 s内完成一次进攻,否则将球权判给对方,因此需要一个具有24 s的倒计时功能。3“分”、“秒”显示用LED数码管,应配用相应译码器。4用扭子开关控制计时器的启动暂停。524s计时时间到、每节结束和全场结束能自动音响提示。1.3 实施计

8、划首先就是要理清实现设计的框图,在总体框图的指导下,作出具体的电图,由要设计实现的功能,计算出各个电路元件的值,并逐一对各个元件进行选择。最后用仿真软件对设计进行仿真操作,调试软件,并对照出的设计有误的地方进行必要的修正,确保设计的正确。1.4 必备条件要实现该电路的设计,首要的就是要制定出设计的实现框图,并在老师的指导和参照资料的条件下作出具体电路图,选定实现实现该设计的各种元器件。另外,还应由必要的软件帮助设计的完善和校验。例如本次设计,我们就用到了protues这一软件来辅助我们的篮球比赛计时器的设计和调试。2 总体方案设计对同一种目的的实现,可以用不同的方案,下面就着重介绍以下两种方案

9、对同一目的的实现方法。并比较两种方案的优劣。篮球比赛计时器的主要功能包括: 12分钟倒计时、进攻方24秒倒计时计时暂停,重新开启和结束警报提示。该计时系统由以下四个电路模块组成:1秒时基产生器:这部分利用32.768KHz需要通过分频器,最终产生1赫兹的电信号,驱动整个电路的运作。这一模块主要是利用CD4060和CD4027的锁存和分频功能来实现。12分钟倒计时:这部分电路完成12分钟倒计时的功能,比赛准备开始时,屏幕上显示12:00字样。当比赛开始时,倒计时从12:00开始逐秒递减到00:00。这一模块主要利用双向计数器74LS192的减计数功能来实现。攻方24秒倒计时:这部分电路与12分钟

10、倒计时功能类似,当比赛准备开始时,屏幕上显示24秒字样,当比赛开始后,倒计时从24逐秒倒数到00。这一模块主要也是利用双向计数器74LS192来实现。节数记次:四个LED分别表示四场节次,根据比赛场次的转换,用适当的方法使这四个LED依次自动指示四场节次。警报提示:当两个计数器中任一个计时到零时,BO端出现低电平。通过和二极管作用,发光二极管亮,起到报警作用。2.1方案比较2.1.1方案一系统框图如图2-1所示:图2-1方案一的系统框图工作原理简述:接通电源后,场外裁判将计时器开关拨到置数状态,锁存器处于禁止状态,计时显示器显示12:00和24数字。主裁判抛球时,计时开始,若双方有暂停或犯规,

11、裁判暂停计时,此时计数被锁存,暂停结束,计数继续。此外,24秒进攻时间到时,报警提示响起,转而进入下一个24秒进攻阶段,每小节时间结束时,报警同样响起,节数增加一。2.1.2方案二 图2-2方案二的系统框图工作原理简述:接通电源后,场外裁判拨到单节置数状态,使的显示屏上显示12:00和24的字样,当主裁抛球,比赛开始,同时计时开始,12分和24秒倒计时,如果在比赛当中有犯规或其他情况需要暂停,裁判按下“暂停”按钮,时间被锁存器锁存,等罚完球或者情况处理完后,按下按钮,24秒清零,计时继续。如果在比赛当中出现进攻时间超过24秒。此时警报响起,报警灯提示。如果比赛时间少于24秒,则以比赛时间为准,

12、忽略进攻时间。一旦12分钟计时结束,同样报警提示。当下一节比赛开始,比赛节数就加一,直到四节比赛结束。2.2方案论证方案可行性分析:对于方案一不可行,因为缺少几个重要的计时器的功能;报警声电路和提示音电路不能用一个电路实现,是因为报警声是连续的,因此需要一个单稳态电路和一个多谐震荡电路。而提示音是间断的,只需一个多谐震荡电路即可。并且它们的工作状态不同,对其元件的参数设置也就不同。至于置数功能,不能将24秒进攻时间结束后很好的置回到24秒。对于方案二可行,是在方案一的基础之上进行修改和完善的。实现报警电路和提示音电路分开,以达到各自的工作状态;使计数器的功能更加独立完善,彼此不会产生干扰。另外

13、,更加具有操作性。2.3方案选择比较方案一和方案二可以看出,方案二比方案一更加可靠,而且使用到的元器件也都是我们所常用到的一些元件比如:555,CD4511、74LS192以及开关、电容、电阻、各种门电路等一些我们所学过,用过的基本器件;从操作行和可行性上说方案二思路清晰,成品的使用方便等优势;从自身的势力上来说,方案二略显复杂一些,但由于本次设计是第一次将数字电子电和模拟电子运用于实际的电路设计中,我们尚未完全的掌握这2门知识,对电路还不能达到最优化的设计,所以综合各个方面的因素,我选择了方案二作为本次课题的主要研究对象,本文也将注重介绍方案二的设计方法。3单元模块设计本节主要介绍系统各单元

14、模块的具体功能、电路结构、工作原理、以及各个单元模块之间的联接关系;同时本节也会对相关电路中的参数计算、元器件选择、以及核心器件进行必要说明。3.1各单元模块功能介绍及电路设计本系统主要分为7个单元模块,它们分别是:秒脉冲发生器的设计、12分钟倒计时器的设计、24秒倒计时器的设计、译码电路和显示器的设计、节次电路的设计、报警和提示音电路和时序控制电路。各单元模块功能及相关电路的具体说明如下。3.1.1秒脉冲发生器的设计该部分电路要完成一个功能,也是该设计的驱动部分:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源,电路图如下: 图3-1 555多谐振荡器3.1.2 12分钟倒计时

15、器的设计该部分主要由555定时器、十进制同步加减计数器74LS192减法计数电路、CD4511译码电路和4个7段数码管及相关电路组成。运用2片可逆计数器74LS192来构成60进制的减计数器。这个计数器的低位即个位,不需要搭接任何反馈电路而直接运用74LS192芯片的减计数功能:时钟脉冲接到DN端,置数、清零端无效,即可以实现十进制的倒计时计数功能。而最低位的计数变化应当与时钟脉冲的变化同步。所以,原则上应当将时钟脉冲直接引到这片192计数器的减计数时钟脉冲输入端DN。该计数器的高位即十位,与低位的计数进制不相同。由于时间的分和秒都是60进制,所以这里的计数芯片74LS192必须要接成六进制的

16、计数器。这里,我选用反馈置数的方法来实现这个功能。置数时,输出的数是与输入的数是一样的,所以我设置的数是5(二进制0101),这样,当计数器从0变到9时,由于进行了异步置数,9就在瞬间变成了5,计数输出的结果就变为0543210,实现了六进制的功能。12分钟倒计时分部分。也是运用两片可逆计数器74LS192来构成减计数器。在两片计数器的连接上,与秒部分一样。也是把低位的借位信号作为高位的时钟脉冲进行连接。而低位计数器的时钟脉冲则是用秒部分高位计数器的借位输出信号来充当的。运用以上两个计数器组合,就在低位计数器从0变到9或从0变到5的瞬间,在它的借位输出端出现一个电平的上升脉冲沿,从而使高位的计

17、数器倒倒计一个数,实现倒计时功能,电路图如下: 图3-2 12分倒计时器3.1.3 24秒倒计时器的设计24秒计数器的倒计时功能。用两片74LS192分别做个位(低位)和十位(高位)的倒计时计数器,由于本设计只需要从开始时的“24”倒计到“00”然后停止,所以可以直接运用十进制的74LS192进行减计数。因为预置的数不是“00”,所以我选用置数端PL来进行预置数。低位的借位端TCD输出低电平用作高位的时钟脉冲,电路图如下:图3-3 24秒倒计时器3.1.4 节次电路的设计将这四个D触发器依次命名为D1、D2、D3、D4。四个D触发器级连,前一个输出送入下一个输入,用一个共同的时钟脉冲,形成同步

18、动作。为了保证每次输出只有一位是高电平,用个或门把Q2、Q3进行或运算后,送入或非门与Q1进行运算后送回D1。当电源刚接通、开关G没有接地,整个计时系统没有进行工作,Q1-Q4为低电平(0000状态),D=1,四个LED都不亮。合上G,接高电平,这样,当G接通时就有了一个电平的上升沿跳变,Q1=D1=1;1000状态,LED1亮,指示第一节比赛。电路进入循环状态,倒计时电路重置一次,该电路状态转换一次,实现节次自动指示,电路图如下: 图3-4 节次显示器3.1.5 报警和提示音电路报警电路和提示音电路,都是由555构成单稳态触发器和多谐振荡器来实现的,共需6个电容,4个电阻,2片555芯片,和

19、两个蜂鸣器。555的6、7管脚连接构成单稳态触发器,555的2、6管脚连接构成多谐振荡起。当单稳态触发器的2管脚输入为低电平时,则其3管脚输入出为高电平,高电平持持续时间Tw=1.1RC。当Tw结束,则3管脚又变为低电平。单稳态触发器的3管脚连接多谐振荡器的4管脚复位端,且是低电平有效,用来控制多谐振荡器的3管脚输出是否为低电平。当单稳态触发器还处于Tw时间段时,则多谐振荡器4管脚无效,3管脚输出为高,蜂鸣器响动。当单稳态触发器还处于Tw结束后,则多谐振荡器输出为低,多谐振荡器4管脚有效,3管脚输出为低,蜂鸣器停止响动。电路图如下:图3-5 报警电路图 3-63.2 特殊器件的介绍本系统中主要

20、使用了如下一些功能器件:计数器74LS192、BCD码7段译码器CD4511、555时钟芯片、D触发器芯片。下面就这些器件的功能特点、主要参数和使用方法作相应说明。3.2.1计数器74LS192Protues中计数器74LS192元件符号如图3-6,图3-7。192的清除端是异步的,当清除端(MR)为高电平时,不管时钟端(CPD、CPU)状态如何,即可完成清除功能。192 的预置是异步的。当置入控制端(PL)为低电平时,不管时钟CP的状态如何,输出端(Q0Q3)即可预置成与数据输入端(D0D3)相一致的状态。192 的计数是同步的,靠UP、DN同时加在4 个触发器上而实现。在UP、DN作用下Q

21、0Q3 同时变化,从而消除了异步计数器中出现的计数尖峰。当进行加计数或减计数时可分别利用UP或DN ,此时另一个时钟应为高电平。当计数上溢出时,进位输出端(TCU)输出一个低电平脉冲,其宽度为UP低电平部分的低电平脉冲;当计数下溢出时,借位输出端(TCD)输出一个低电平脉冲,其宽度为DN低电平部分的低电平脉冲。当把TCD和TCU分别连接后一级的DN和UP,即可进行级联。双列直插封装引出端符号如图:TCDWN 错位输出端(低电平有效),TCUP 进位输出端(低电平有效),CNTDWN 减计数时钟输入端(上升沿有效),CNTUP加计数时钟输入端(上升沿有效),MR 异步清除端,P0P3 并行数据输

22、入端PL,异步并行置入控制端(低电平有效),Q0Q3 输出端极限值。 图3-6 74ls192元件符号 图3-7 74LS192引脚3.2.2 BCD码7段译码器CD4511如下图3-8,图3-9所示,该图为BCD码七段译驱动器及数码显示器。.A、B、C、D为BCD码输入端QA、QB、QC、QD、QE、QF、QG为译码输出端,输出 “1”有效,用来驱动共阴极 LED数码管。当有输入信号输入时,对应的输出端输出高电平“1”,此时数码显示器相对应的端脚接受到信号,从而使对应的灯管亮起,显示对应的数字。LT为测试输入端,=”0”时,译码输出全为”1”。 为消隐输入端,=”0”时,译码输出全为”0”,

23、即七段显示器处于消隐状态。LE为锁定端,LE=“1”时译码器处于锁定状态,译码输出保持在LE=0时的数值,LE=0时正常译码。 图3-8 CD4511元件符号 图3-9 CD4511引脚图3.2.3 555时钟芯片如图3-10,图3-11,555电路由电阻分压器、电压比较器、基本RS触发器、放电管和输出缓冲器5个部分组成。它的各个引脚功能如下:1脚:GND(或Vss)外接电源负端VSS或接地,一般情况下接地。8脚:VCC(或VDD)外接电源VCC,双极型时基电路VCC的范围是4.516V,CMOS型时基电路VCC的范围为318V。一般用5V。|3脚:OUT(或Vo)输出端。2脚:TR低触发端。

24、6脚:TH高触发端。4脚:R是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。5脚:CO(或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01F电容接地,以防引入干扰。7脚:D放电端。该端与放电管集电极相连,用做定时器时电容的放电。电阻分压器由三个5k的等值电阻串联而成。电阻分压器为比较器C1、C2提供参考电压,比较器C1的参考电压为2/3Vcc,加在同相输入端,比较器C2的参考电压为1/3Vcc,加在反相输入端。比较器由两个结构相同的集成运放C1、C2组成。高电平

25、触发信号加在C1的反相输入端,与同相输入端的参考电压比较后,其结果作为基本RS触发器R端的输入信号;低电平触发信号加在C2的同相输入端,与反相输入端的参考电压比较后,其结果作为基本RS触发器S端的输入信号。基本RS触发器的输出状态受比较器C1、C2的输出端控制。在1脚接地,5脚未外接电压,两个比较器C1、C2基准电压分别为2/3Vcc、1/3Vcc。 图3-10 555元件符号 3-11 555引脚图4系统调试4.1调试环境Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的

26、仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。具有4大功能模块: (a)、智能原理图设计(ISIS)丰富的器件库超过27000种元器件,可方便地创建新元件;智能的器件搜索:通过模糊搜索可以快速定位所需要的器件;智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰;可输出高质量图纸。(b)、ProSPICE混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE

27、文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件。 (c)、独特的单片机协同仿真功能支持主流的CPU类型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、等。(d)、实用的PCB设计平台 先进的自动布局/布线功能;支持器件的自动/人工布局;支持无网格自动布线或人工布线;支持引脚交换/门交换功能使PCB设计更为合理;完整的PCB设计功能;可以输出多种格式文件。4.2硬件调试4.2.1 功能调试通过几天的的理论设计, 为了验证对理论应用的正确性,选用Protues进行仿真,验证所设计

28、的电路能否实现清零,启动,暂停,继续,报警,节数显示等功能,以及24秒和24秒的倒计时功能。4.2.2 秒脉冲发生器调试目的测试秒发生器是否能够产生频率接近1HZ的脉冲。 调试电路,如图4-1 图4-1 逻辑图555多谐振荡器调试结果,如图4-2 图4-2 波形(黄色部分)4.2.3 24秒倒计时调试目的调试24秒倒计时器能否常倒计时。调试电路,如图4-3图4-3 24秒倒计时测试电路调试结果能够完整的从24秒倒数到00。4.2.4 12分倒计时器测试目的 检测12分倒计时器能否正常工作。测试电路 如图4-4图4-4 12分倒计时器测试电路测试结果:通过测试电路显示的结果可以判断出该电路工作正

29、常4.2.5 节数计数单元测试目的检测比赛节次电路能否正常计数显示。测试电路 如图4-5 图4-5 节次计数电路测试结果从左到右分别表示比赛的1、2、3、4节,测试时led灯分别依次亮起,节次计数显示正常。4.2.6 篮球比赛计时器置数功能测试目的 通过开关来控制篮球比赛计时器的置数,使的比赛开始前调准好比赛的总时间和进攻时间。测试电路,如图4-6图4-6 篮球比赛计时器置数测试电路测试结果通过调试电路的仿真可以清晰的看到置数功能的正常运转,显示12:00和24字样。4.2.7 篮球比赛计时器暂停功能测试目的检测比赛因犯规和意外情况遭成的比赛暂停,通过暂停开关来实现。测试电路 ,如图4-7图4

30、-7 篮球比赛计时器暂停功能测试电路测试结果开关后,电路上的计时器停留在11:38和02的位置。4.2.8篮球比赛计时器报警响音功能测试目的秒计时时间到00时,报警会想起,同时LED灯会亮起,报警是由来两个555定时器组成,并且通过参数的调节,报警时长为5秒。测试电路 如图4-8图4-8报警电路测试结果12分钟单节比赛结束或者24秒倒计到时,报警器响起,报警LED灯亮起。5结论该设计用仿真软件proteus的验证了理论分析结果的正确性。此次课程设计经过为期2周的不懈努力,目前基本达到了预期的要求,通过对整个系统的调试,可得到如下结论:本设计是基于篮球比赛的计时器,通过人为操作以及电子线路的控制

31、,达到计时器启动、置数、清零、暂停、继续、报警响音等功能。理解了由555多谐振荡器作为秒脉冲发生器应用的场合,采用不同的逻辑门来实现电子线路的时序控制,对可逆十进制计数器74LS192,译码器CD4511,D触发器的认识更加深入明确。不过,这个设计还是有它的不足之处。首先就是电路设计有些复杂,元器件种类有点多,它们会耗费掉一定的电力,另外就是其智能化程度不高,由于电子器件之间的误差,会造成整个计时的误差。鉴于是采用仿真软件仿真的,在理论上是虽然可行,但是在实际工程上需要接受检验,由于时间条件的限制就没有做出实物。6总结与体会在确定课程设计题目之后,通过上网和查阅相关图书,参考了许多相关的资料。在设计中又参考了以前学过的数字电子表设计的原理图,有了基本的思路。但着手设计时,又出现了许多未预料到的问题,例如控制时序电路的设计,这部分比较关键,会影响到芯片的正常工作,经过和同学的努力思考尝试以及老师的帮助,基本上解决了这个问题。此外,就是对于十进制计数器74LS192的认识,这个芯片是没有学过的,但是经过自己的上网查找,掌握了这个芯片的逻辑功能和应用范围,主要参数等,体会到了自学的味道。另外就是对仿真软件的认识和熟练操作,通过仿真解决了不少工程上的

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1