ImageVerifierCode 换一换
格式:DOCX , 页数:45 ,大小:1.05MB ,
资源ID:5982325      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5982325.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于fpga的点阵.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于fpga的点阵.docx

1、基于fpga的点阵基于FPGA驱动 高速点阵板驱动系统及相关接口研制潘茂盛摘要:论文立足于当今行业流行的LED控制技术,以新型控制器FPGA为核心研究点阵板高速驱动方案,文中介绍LED屏在国内发展现状及前景,国内具有良好的技术和产业链,研究LED控制器具有不可轻视的意义,结合点阵屏特点确定了该论文的控制方案及FPGA集成并行扫描。第二、三章介绍LED点阵板组成的基本原理、发光特性及人眼视觉特性,介绍LED驱动的三种方案并进行论述,得出脉冲驱动的方案具有极大的优势。第四章介绍FPGA的基本原理,目前较为流行的Altera FPGA系列器件EP2C5T144C8N,介绍流行的FPGA开发工具Qua

2、rtus 、NIOS 及ModelSim,FPG开发流程和开发特点,介绍目前流行的硬件描述语言Verilog HDL特点。第五章结合点阵板基本组成原理和该设计的控制方案,设计了提高传输效率的数据组织方法。文章重点在第六章,介绍各软件模块和软硬件模块的组成和结合方式,结合点阵板组成原理及其特点确定控制器的实现的目的,本系统设计的具体实施方案,各模块工作原理有详细的描述,模块Verilog HDL 描述,IP核的使用,各模块结合软硬件仿真调试过程和调试结果,最终实现设计要求达到的目的。第七章介绍硬件设计的结构,各个模块的基本组成及模块的结合。第八章结合作者的实际设计经验谈了FPGA系统设计的经验和

3、技巧以提高设计效率设计的人性化,包括电路模块化方法、IP核使用技巧、电路仿真测试技巧,模块复用优势及系统调试结果。关键词:FPGA集成并行扫描 点阵屏 PC机串口 模块化FPGA-based high-speed dot matrix driver board drive system and related interfaces are developedPan MaoshengSummary:Paper based on todays popular LED control technology industry to the core of the new controller FPGA

4、 board high-speed dot-matrix driving scheme, the paper describes the development of LED screens in the domestic situation and prospects of China with good technical and industrial chain of LED controller has not underestimate the significance, combined with dot-matrix screen features to determine th

5、e control scheme of the paper and the FPGA integrated parallel scan. Second, LED dot matrix board composed of three chapters introduce the basic principles of light and human visual characteristics, introduced three LED drivers to discuss the program and obtained pulse-driven program has a great adv

6、antage. Chapter IV introduces the basic principles of FPGA, Altera FPGA and most popular devices EP2C5T144C8N, introduced the popular FPGA development tool Quartus , NIOS and ModelSim, FPG development process and development features, and introduces the popular features of the hardware description l

7、anguage Verilog HDL . Lattice board chapter combines elements of the design principle and control scheme, designed to improve the transmission efficiency of Data Organization. It focuses on Chapter VI, describes the various software modules and hardware modules of the composition and combination of

8、methods, combined with dot-matrix plates and its features to determine the purpose of realization of the controller, the system design for the concrete implementation, the module works detailed description of Verilog HDL description of the module, IP core use, the combination of hardware and softwar

9、e modules and debug the debugging process simulation results, and ultimately to achieve the design requirements. Chapter VII of the hardware design of the structure, the basic components of each module and module combination. Chapter VIII of the combination of practical design experience on the FPGA

10、 system design experience and skills to improve the efficiency of the design of human design, including circuit modular approach, IP core using the technique, circuit simulation test techniques, module reuse advantages and system debugging results.Keywords: FPGA integrated parallel port scanning dot

11、 matrix screen PC-modular第一章 概 述.1 LED显示屏及应用LED显示屏的应用涉及社会经济的许多领域,主要包括: 证券交易、金融信息显示。这一领域的LED显示屏占到了前几年国内LED显示屏需求量的50%以上,目前仍为LED显示屏的主要需求行业。上海证券交易所、深圳证券交易所及全国上万家证券、金融营业机构广泛使用了LED显示屏。 机场航班动态信息显示。民航机场建设对信息显示的要求非常明确,LED显示屏是航班信息显示系统FIDS (Flight information Display system)的首选产品,首都机场、上海浦东国际机场、海口美兰机场、珠海机场、厦门高崎

12、机场、深圳黄田机场、广州白云机场及全国数十家新建和改扩建机场都选用了国产的LED显示屏产品。 港口、车站旅客引导信息显示。以LED显示屏为主体的信息系统和广播系统、列车到发揭示系统、票务信息系统等共同构成客运枢纽的自动化系统,北京站、北京西站、南昌站、大连港等国内重要火车站和港口都安装了国内厂家提供的产品和系统。 体育场馆信息显示。LED显示屏已取代了传统的灯泡及CRT显示屏,四十三届世乒赛主场地天津体育中心首次采用了国产全彩色视频LED显示屏,受到普遍好评,上海体育中心、大连体育场等许多国内重要体育场馆相继采用了LED显示屏作为信息显示的主要手段。 道路交通信息显示。智能交通系统(ITS)的

13、兴起,在城市交通、高速公路等领域,LED显示屏作为可变情报板、限速标志等,替代国外同类产品,得到普遍采用。 调度指挥中心信息显示。电力调度、车辆动态跟踪、车辆调高度管理等,也在逐步采用高密度的LED显示屏。 邮政、电信、商场购物中心等服务领域的业务宣传及信息显示。遍布全国的服务领域均有国产LED显示屏在信息显示方面发挥作用。 广告媒体新产品。除单一大型户内、户外显示屏做为广告媒体外,国内一些城市出现了集群LED显示屏广告系统;列车LED显示屏广告发布系统也已在全国数十列旅客列车上得到采用并正在推广。 演出和集会。大型显示屏越来越普遍的用于公共和政治目的的视频直播,如在我国建国50周年大庆、美国

14、总统大选、莫斯科850周年庆典、日本 NAGANNO冬季奥运会、波兰教皇的访问、巴西狂欢节、世界各地的新千年庆典。这些节日中大型显示屏增加了艺术影响力,在播放广告和信息的同时也团结了人们。展览和租赁。在许多展览会,LED显示大屏幕作为展览组织者提供的重要服务内容之一,向参展商提供有偿服务,国外还有一些较大的LED大屏幕的专业性租赁公司,也有一些规模较大的制造商提供租赁服务。.2 显示屏驱动器的发展状况和趋势LED显示屏驱动器经历了从单色、双色图文显示屏,到图像显示屏,一直到今天的全彩色视频显示屏的发展过程。目前LED显示屏驱动器根据使用场所不同,可以分为室外屏和室内屏两种,其主要区别是发光管的

15、发光亮度不同。而根据所显示的内容不同也可以分为图像屏和文字屏两种。目前LED电子显示屏驱动器的显示向更高亮度、更高耐气候性、更高的发光均匀性、更高的可靠性、全色化、多媒体方向发展,系统的运行,操作与维护也向集成化、网络化、智能化方向发展。高亮度、全彩化蓝色及绿色超高亮度LED产品出现以来,成本逐年快速降低,使LED全彩色显示屏产品成本下降,推广速度加快。同时,随着控制技术的发展和LED显示屏体稳定性的提高,使全彩色LED显示屏的亮度、色彩、白平衡均达到比较理想的效果,完全可以满足户外全天候的环境条件要求,而且图像更清晰、更细腻、更亮丽。标准化、规范化材料、技术的成熟及市场价格基本均衡之后,LE

16、D显示屏驱动器的标准化和规范成为LED显示屏发展的一个趋势。近几年业内的发展中,价格调整达到基本均衡后,产品质量、系统的可靠性等将成为主要的竞争因素,这就对LED显示屏的标准化和规范化有了较高的要求。行业规范和标准体系的形成,IS09000系列标准的应用,使LED显示屏行业的发展趋于有序化。产品结构多样化随着信息化社会的形成,信息领域愈加广泛,LED显示屏及驱动器的应用前景更为广阔。预计大型或超大型LED显示屏为主流产品的局面将会发生改变,适合于服务行业特点和专业性要求的小型LED显示屏会有较大提高,面向信息服务领域的LED显示屏产品门类和品种体系将更加丰富,部分潜在市场需求和应用领域将会有所

17、突破,如公共交通、停车场、餐饮、医院等综合服务方面的信息显示屏需求量将有更大的提高,大批量、小型化的标准系统LED显示屏在LED显示屏市场总量中将会占有多数份额。.3 本课题的内容研究的内容主要包括,首先深入了解大屏幕LED显示系统的结构,工作原理,其次学习基于ALTERA CycloneEP2C5T144C8N的 FPGA芯片,的并行工作提高数据传输效率,提高传输速度,设计并制作控制器外围电路,最后完成基于EP2C5T144C8N的LED显示系统的设计。要求包括能够获得较大的显示区域,能够达到稳定的显示效果。控制器选型选择FPGA的理由, 这个与FPGA的比较物是单片机(MCU),这里把各类

18、ARM芯片也归类进来。MCU实现的是软逻辑。MCU根据烧写在存储器中的代码进行动作。MCU内部的处理器首先要从存储器中读取代码,然后解释代码,执行代码。FPGA实现的是硬逻辑。工程师用硬件描述语言(Verilog/VHDL)描述需实现的逻辑,然后通过专门的工具综合后生成目标文件。把目标文件下载进FPGA后,FPGA内部便形成了实现预定功能的硬件电路,这些电路是由基本的门电路和触发器构成。不过现在FPGA通过IP核的方式也可实现类似MCU的软逻辑。相对MCU,FPGA的优势主要有:速度快,应用灵活等。速度快的优势来源于FPGA的硬逻辑方式。由于FPGA的逻辑功能全部用硬件电路实现,故所有的延迟只

19、来源于门电路,而一般门电路的延迟都在ns级别。FPGA较MCU,应用更加灵活。一个型号的MCU,外围设备种类是固定的个数也是固定的。而FPGA的设备是可以由编程人员配置的,这就加大了硬件使用的灵活性。系统设计方案及论文结构上一节阐述了FPGA与MCU的区别和各自的工作特点,在这一节中我们就参照它们的特性列出三个驱动器的设计方案,比较它们的优缺点,挑选出最佳设计方案,设计出控制器系统及硬件。设计方案,比较它们的优缺点,挑选出最佳设计方案,设计出控制器系统及硬件。方案1-1:采用普通的单片机MCU做控制系统,由于一块普通单片机刷新频率不足,不能满足显示区域较大,那么就需要采用多块单片机分散控制,如

20、图1-1所示,这样一来对数据的管理非常麻烦,程序编制比较复杂,显示难以同步,因此不应采纳。图1-3-1 基于51单片机的系统结构图方案1-2:采用基于EPC25T144的FPGA的高性能处理器做控制系统,系统时钟高达160MHz(使用ARM也是类似的控制方式),这样在传输速度上就解决了普通单片机不能解决的问题,结构如图12。图1-3-2 基于FPGA的系统结构图但是在灰度控制中FPGA速度足够快,但是LED屏体传输速度只有24MHz,实现大屏灰度控制困难,在方案12中使用的仅仅是FPGA的速度这就没有把FPGA的优势体现出来。图1-3-3,FPGA集成并行扫描方案1-3:在1.5节中提到FPG

21、A资源可配置的灵活性和并行工作的特点,这里把方案1-1并行传输和1-2速度快的优点结合起来,如图1-3-3所示,使用FPGA集成并行扫描方式解决这一问题。论文从介绍了LED显示屏开始,接着对LED发光器件作了说明,然后详细说明了LED显示屏屏体电路和显示屏控制系统,介绍了显示屏的接口电路,软件的编写等,最后讲述了作者在制作过程中遇到的问题和解决方法以及对自己的工作总结。第二章 LED器件基本工作原理2.1 光学度和视觉特性为了使LED显示屏达到理想的显示效果,除了需要对LED器件本身的工作原理及特性有很好的理解之外,首先要对光学特性(亮度、色彩、视角)等和人眼的视觉特性有所了解。由于图像显示的

22、最终效果是与光源特性(如果是反射光的话,还要考虑反射体的光学特性)和视觉特性双方有关的,它既有光学原理所描述的客观存在,也有人眼视觉主观感受的因素,两者兼顾才能收到良好的效果。光度是对有关的辐射能量与人眼亮度感受两者关系的描述;色度是对有关彩色形成与彩色视觉关系的描述。人眼对亮度的敏感程度与颜色有关,在整个可见光范围并不是均匀的2。人眼对不同颜色光线的敏感程度不同,人眼对颜色的感觉来源于视网膜上三种不同类型的视锥细胞。不同的视锥细胞对不同的颜色敏感,它们的视敏曲线表示在图2-1上,分别为Rs()、Gs()、Bs(),即三种视锥细胞分别对红绿蓝三色最敏感。三种细胞的共同作用下,就可以得到人对颜色

23、的总体感觉。根据对人眼的研究,可知用RGB三基色的不同比例,可以合成不同的颜色。三种颜色不同比例的混合就能发出从白到黑的各种颜色的光。这就是LED显示屏为什么以RGB为三基色2。图2-1 视锥细胞视敏函数曲线人眼的亮度感觉不会因光源的消失而立即消失,要有一个延迟时间,这就是视觉惰性。视觉惰性可以理解为光线对人眼的作用传输处理等过程都需要时间,因而使视觉具有一定的低通特性。实验表明,当外界光源突然消失时,人眼的亮度感觉是按指数规律逐渐减小的。这样当一个电源反复通断,在通断频率较低时,人眼可以发现亮度的变化;而通断频率增高时,视觉就逐渐不能发现相应的亮度变化了,刷新频率越高,画面质量越好,但刷新频

24、率越高,对屏体背后的驱动电路和控制电路的要求也越高。视觉惰性可以说是LED显示屏得以广泛应用的基础。首先,在LED显示屏中可以利用视觉惰性,改善驱动电路的设计,形成了目前广为采用的扫描驱动方式。扫描驱动方式的优点在于LED显示屏不必对每个发光灯提供单独的驱动电路,而是若干个发光灯为一组共享一个驱动电路,通过扫描的方法,使各组发光灯依次点亮,只要扫描频率高于临界闪烁频率,人眼看起来各组灯都在发光。由于LED显示屏所使用的发光灯数量很大,一般在几千只到几万只的范围,所以节约驱动电路的效益是十分可观的2。2.2 发光二极管特性发光二极管(light emitting diode,LED),是一种把电

25、能变成光能的特种器件,当电流通过它的时候(图20-1),可以产生可见光。图2-0-1 点亮一个LED发光二极管的结构主要由PN结芯片、电极和光学系统构成。我们知道,发光是一种能量转化现象。当系统受到外界激发后,会从稳定的低能态跃迁到不稳定的高能态;当系统由不稳定的高能态重新回到稳定的低能态时,能量差以光的形式辐射出来,就会产生发光现象。当在PN结上加以正向电压之后,P区的空穴注入至N区,N区的电子注入至P区,相互注入的电子与空穴相遇后即产生复合,这些少数载流子在PN结的注入和复合中产生辐射而发光。它是自发辐射发光,不需要较高的注入电流产生粒子数反转分布,也不需要光学谐振腔,发射的是非相干光。描

26、述LED的特性有许多参数,这些参数之间的关系呈现非线性。因此,用特性曲线来描述这些关系,在工程应用中更具有使用价值。下面就以其主要的特性曲线作简单介绍2。2.3 LED的伏安特性LED的伏安特性与普通二极管类似,只是开始导通的正向电压较大,大约在1.5-3.0之间,视不同的半导体材料而定,其特性曲线如图2-3:图2-3 LED的伏安特性曲线可以用以下公式描述: (2.2.1)其中: m是符合因子,Is是反向饱和电流(MA),e是电子电量,K是波尔兹曼常数,U是PN结电压(V),T是绝对温度(K)当LED两端正向压降超过门坎电压时,LED开始发光,发光的波长取决于电子跃迁的能量差。实际上LED发

27、出的光,不是单一波长的光,而是某一波段的光,LED显示屏三基色色纯度越高,光谱分布越窄,合成的图像颜色越自然。 发光强度Iv与正向电流If的关系曲线总体上看发光强度是随正向电流的增加而增加的,但不同半导体材料制成的LED器件,其发光强度L与正向电流I的变化关系有所不同。图2-4给出了由A-GaAsP(N)、B-GaP(N)和C-GaP(Zn-O)三种不同半导体材料制成的LED器件的电流与发光强度的关系曲线2。图2-4 LED发光强度与正向电流的关系一般的LED发光强度正比于电流的m次方,当低电流密度时m=1.3-1.4,当大电流密度时m=1。可见,在大电流时光强随电流增加,这个特性使LED在窄

28、脉冲驱动方式下,也可获得较高亮度。 (2.2.2)其中:K是一个常系数,I是正向电流2。2.4 LED器件的驱动原理从LED器件的发光机理可以看出,当向LED器件施加正向电压时,流过器件的正向电流使其发光,因此LED的驱动就是要使它的PN结处于正向偏置,其具体的驱动方式有以下几种11:2.4.1 直流驱动直流驱动是最简单的驱动方法,就是通过稳定电源,经限流电阻为发光二极管LED提供电流的方法。这种驱动方式虽然简单,但不能在LED显示屏上使用。由于LED正向特性陡峭,加上组件参数的分散性,即使相同的电源,相同的限流电阻,每个LED的正向电流也不尽相同,导致LED器件的发光强度不同,亮度不均。这种

29、驱动方式适合于LED器件较少,显示固定,发光强度恒定的情况。2.4.2 恒流驱动恒流驱动基本上克服了器件分散性的影响,由于三极管的输出特性具有恒流的性质,所以可采用晶体管驱动LED,如图2-5是用三极管进行恒流驱动的原理图,LED的导通电流与LED本身无关,取决于外部参数,其正向电流是: (2.3.1)图2-5 LED恒流驱动2.4.3脉冲驱动利用人眼的视觉惰性,采用向LED重复通断的方式使其点亮,就是脉冲驱动方式。脉冲驱动的主要应用有两个方面:扫描驱动和占空比驱动。扫描驱动的主要目的是节约驱动器,简化电路。采用这种方式时应该注意两个问题:脉冲电流幅值的确定和重复频率的选择。首先,要想获得与直

30、流驱动方式相当的发光强度,脉冲驱动电流的平均值I就应该与直流驱动的电流值相同。如图2-6所示,平均电流Ia是瞬时电流i的时间积分,对于矩形波来说,有如下表达式 (2.3.2)图2-6 LED的脉冲驱动 (2.3.3)其中ton/T就是占空比的一种描述,为了使脉冲驱动方式下的平均电流Ia与直流驱动电流Io相同,就需要使它的脉冲电流幅值满足: (2.3.4)可见脉冲驱动时,脉冲电流的幅值应该比直流驱动电流大T/ton倍。其次是脉冲重复频率的问题,因为人眼视觉暂留的特性,脉冲重复频率必须高于24HZ,否则会感觉有闪烁现象。该系统采用的扫描驱动方式,是通过数字逻辑电路,使若干LED器件轮流导通。LED

31、显示屏是将发光灯按行列布置的,在扫描驱动方式下可以按行扫描,按列控制,也可以按列扫描,按行控制。所谓“扫描”的含义就是指一行一行地循环接通整行的LED器件,而哪一列的LED器件是否应该点亮由所谓的列控制电路来负责。第三章 LED显示屏及驱动电路3.1 LED显示屏屏体LED显示屏是以发光二极管为像素,由LED点阵显示单元拼接而成的,不论是图形还是文字,都是控制组成这些图形或文字的各个点所在的位置相对应的LED器件发光。根据需要设计好合适的数据文件,每个LED发光器件占据数据中的一位,在需要该LED器件发光时数据中相应的位填1,否则填0,这样依照所需显示的文字,按显示屏的各行各列逐点填写显示数据

32、,就可以得到满意的显示效果。LED点阵显示单元有5X7,7X9,8X8等不同规格,前两种主要用于显示各种西文字符,后一种常用于显示各种汉字字符,8X8点阵单元的外观及等效电路图如图3-1所示。图3-1 8X8点阵显示单元从图上可以看出,它的每一列均共享一根列线,每一行共享一根行线。当相应的列接高电平,行接低电平时,对应的发光二极管将被点亮。本次研究的是由8X8的双基色LED点阵显示单元拼接而成的32行 X 256列的条屏,由128块点阵显示单元,按4 X 32块的单元板(从厂家购买)方式组成。对LED显示屏采用的是逐行动态扫描的工作方式,由峰值较大的按窄脉冲驱动,逐次不断地对显示屏各行进行选通,同时又像各列送出表示图形或文字信息的脉冲信号,反复循环,从而显示各种图像或文字信息。3.2 双色LED单元板硬件组成及工作原理4常见的室内双色LED单元板电路框图如图1(a)所示。其中行扫描电路由2片74HC138(38译码器) 构成的416译码器加上多个 495

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1