ImageVerifierCode 换一换
格式:DOCX , 页数:42 ,大小:705.42KB ,
资源ID:5973621      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5973621.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的车载电子系统设计毕业设计论文.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的车载电子系统设计毕业设计论文.docx

1、基于FPGA的车载电子系统设计毕业设计论文 本 科 毕 业 设 计 题 目:基于FPGA的车载电子系统设计 毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作 者 签 名: 日 期: 指导教师签名: 日期: 使用授权说明本人完全了解 大学关于收集、保存、使用毕业设计(论文

2、)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名: 日 期: 学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名: 日期: 年 月 日学位论文

3、版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权 大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名: 日期: 年 月 日导师签名: 日期: 年 月 日注 意 事 项1.设计(论文)的内容包括:1)封面(按教务处制定的标准封面格式制作)2)原创性声明3)中文摘要(300字左右)、关键词4)外文摘要、关键词 5)目次页(附件不统一编入)6)论文主体部分:引言(或绪论)、正文、结论7)参考文

4、献8)致谢9)附录(对论文支持必要时)2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。4.文字、图表要求:1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画3)毕业论文须用A4单面打印,论文50页以上的双面打印4)图表应绘制于无格子的页面上5)软件工程类课题应有程序清单,并

5、提供电子文档5.装订顺序1)设计(论文)2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订指导教师评阅书指导教师评价:一、撰写(设计)过程1、学生在论文(设计)过程中的治学态度、工作精神 优 良 中 及格 不及格2、学生掌握专业知识、技能的扎实程度 优 良 中 及格 不及格3、学生综合运用所学知识和专业技能分析和解决问题的能力 优 良 中 及格 不及格4、研究方法的科学性;技术线路的可行性;设计方案的合理性 优 良 中 及格 不及格5、完成毕业论文(设计)期间的出勤情况 优 良 中 及格 不及格二、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范? 优 良 中 及格

6、 不及格2、是否完成指定的论文(设计)任务(包括装订及附件)? 优 良 中 及格 不及格三、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义 优 良 中 及格 不及格2、论文的观念是否有新意?设计是否有创意? 优 良 中 及格 不及格3、论文(设计说明书)所体现的整体水平 优 良 中 及格 不及格建议成绩: 优 良 中 及格 不及格(在所选等级前的内画“”)指导教师: (签名) 单位: (盖章)年 月 日评阅教师评阅书评阅教师评价:一、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范? 优 良 中 及格 不及格2、是否完成指定的论文(设计)任务(包括装订及附件)?

7、 优 良 中 及格 不及格二、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义 优 良 中 及格 不及格2、论文的观念是否有新意?设计是否有创意? 优 良 中 及格 不及格3、论文(设计说明书)所体现的整体水平 优 良 中 及格 不及格建议成绩: 优 良 中 及格 不及格(在所选等级前的内画“”)评阅教师: (签名) 单位: (盖章)年 月 日教研室(或答辩小组)及教学系意见教研室(或答辩小组)评价:一、答辩过程1、毕业论文(设计)的基本要点和见解的叙述情况 优 良 中 及格 不及格2、对答辩问题的反应、理解、表达情况 优 良 中 及格 不及格3、学生答辩过程中的精神状态

8、优 良 中 及格 不及格二、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范? 优 良 中 及格 不及格2、是否完成指定的论文(设计)任务(包括装订及附件)? 优 良 中 及格 不及格三、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义 优 良 中 及格 不及格2、论文的观念是否有新意?设计是否有创意? 优 良 中 及格 不及格3、论文(设计说明书)所体现的整体水平 优 良 中 及格 不及格评定成绩: 优 良 中 及格 不及格教研室主任(或答辩小组组长): (签名)年 月 日教学系意见:系主任: (签名)年 月 日基于FPGA的车载电子系统设计摘 要随着科技的不断

9、发展,FPGA技术带来了汽车电子测试技术的创新,凭借开发基于FPGA硬件的单个系统可以解决不同的汽车设计和测试应用,而无需多个定制的测试设备。而在FPGA的车载电子系统中,车载电子密码锁成本更低、风险更小、灵活性更高,而且在设计后期更易变更,甚至可对己经投入应用的产品进行升级,因此将成为未来汽车电子设计中的理想配置。本设计在论文中,阐述了电子密码锁的发展与作用,国内外发展现状,同时也对电子密码锁系统进行了分析。本文通过VHDL语言设计了车载电子密码锁系统,实现了它的数码输入功能,数码清除功能,密码更改功能,激活电锁功能,解除电锁功能,数字位退格功能。并在Quartus II9.0软件和Mode

10、lSim-Altera 6.4a联合使用下实现了系统的编译仿真与调试。关键字:FPGA; 电子密码锁; 车载电子系统; QuartusAbstractWith the continuous development of science and technology, FPGA technology has brought the innovation of automobile electronic test technology, with the development of a single system based on FPGA hardware can solve differen

11、t vehicle design and test application, and test equipment required multiple custom. In vehicle electronic system FPGA, vehicle electronic password lock with lower cost, lower risk, higher flexibility, and late in the design of more difficult to change, even to upgrade has been put into application p

12、roducts, thus will become the ideal configuration of automotive electronics design in the future.The design in this paper, describes the development status of the electronic password lock function, and the development of domestic and foreign. At the same time, also has carried on the analysis to the

13、 electronic password lock system, this paper designed a vehicle electronic password lock system through the VHDL language, it introduces digital input function, digital clear function, change the password lock function, activation function, releaselock 5, digit backspace function. And in the compila

14、tion and simulation system implemented in the combined use of Quartus II9.0 software and ModelSim-Altera 6.4a.Keywords: FPGA; electronic password lock; vehicle electronic system; Quartus II1 绪论随着大规模和超大规模可编程器件在FPGA技术支持下的广泛应用,使现代化设计计算已进入一个全新的阶段,从设计思想、设计工具一直到实现方式都发生了诸多变化。在FPGA技术中,以当代电子技术为特点的逻辑设计仿真测试是最受

15、人关注的技术。为了能准确测试和仿真,这种技术只需要通过电脑就能对所设计的电子系统从许许多多方面上进行;实际软件的设计完成之后,就能完成对系统上的加载程序的扫描检查。科学技术的发展,使电子密码锁种类越来越多,更新换代的更是越来越快。电子密码锁要由以下两个部分电子锁体、电子密匙组成,一把锁里能存放许多密码,我们在使用过程中可随便修改它。下面就是现在主流电子密码锁。遥控式电子防盗锁目前常见的遥控式电子防盗锁主要有光遥控和无线电遥控两类。键盘式电子密码锁从目前的技术水平和市场认可程度看,使用最为广泛的是键盘式电子密码锁,该产品主要应用于保险箱、保险柜和金库,还有一部分应用于保管箱和运钞车。卡式电子防盗

16、锁使用各种“卡”作为钥匙的电子防盗锁是当前最为活跃的产品,无论卡的种类如何多种多样,按照输入卡的操作方式,都可分为接触式卡和非接触式卡两大类。生物特征防盗锁人的某些与生俱来的个性特征(如手、眼睛、声音的特征)几乎不可重复,作为“钥匙”就是唯一的(除非被逼迫或伤害),因此,利用生物特征做密码的电子防盗锁,也特别适合金融业注重“验明正身”的行业特点。我的基于FPGA的电子密码锁设计电路有显示功能,可以显示数字也可以不用显示。当你要更改密码时,由于有特殊功能的FPGA,他的许多地方都要改,用Quartus II9.0软件把更新后的设计下载到软件中,设计效率马上提高了。而且同单片机的电子密码锁相比用F

17、PGA的设计结构简单、系统保密性和可靠性更高。可以广泛的应用在公共场所如食堂、仓库、饭店等。 可以根据设计师的愿望更改设计的FPGA在我们的领域中是一门新技术。设计师只用对系统的功能进行相应描述,键盘式电子密码锁从目前的技术水平和市场认可程度看,使用最为广泛的是键盘式电子密码锁,该产品主要应用于保险箱、保险柜和金库,还有一部分应用于保管箱和运钞车。卡式电子防盗锁使用各种“卡”作为钥匙的电子防盗锁是当前最为活跃的产品,就能在FPGA工具的协助下完成系统设计,为电子产品的设计和研发缩短了实践,降低了其成本,提高了系统的稳定性。1.1 本课题研究的国内外现状及其发展大众对安全的要求随着安全意识的增加

18、和生活质量的提高而高。如何研制出安全方便又有可靠的防盗功能的锁是设计师的不变理想。电子技术快速的发展,带来各种各样的电子产品,电子密码锁就是是其中的产物。资料显示出来,上世纪30年的电子密码锁,已经在一些特别的地方有了用处。更具数学的概率理论电子锁的密码可以有许多种组合,而采用机械锁输入密码的设计,还可避免钥匙被偷偷复印的可性。越来越受到人们的重视。我们看的电影中经常出现许多类型的电子锁,如数字锁,指纹锁,磁卡锁,IC卡锁和其他生物功能的锁。但按键的电子密码锁还是更加经济的选择,可以大规模的推广使用。高科技的集成电路的发展,使电子锁在八零年代后的个头越来越小,可靠性和成本也变高,在安全性要求较

19、高的情况下使用,和供电需求,使用的是局限在一定范围内,很难普及,所以对它的研究没有重大进展。发达国家如今技术相对先进,有品种丰富的电子密码锁,已广泛的在各种大型公共应用。在中国,电子锁的整体水平仍在70年,电子密码锁的成本也很高,市场仍对电子锁的钥匙,钥匙和钥匙卡型电子锁已引进了国际先进水平,目前市场的几个工厂的生产与供应。但我们自主研制的电子锁,还没有市场,使用率也不广。值得一提的是世界许多先进的技术国内很多企业已经引进了,因此在我国发展前景也必将乐观。希望通过不断的努力,中国的电子密码锁可广泛用。可是目前使用的电子密码锁大部分还是用的单片机,在实际应用中,因为容易出问题,不咋滴可靠啊。车载

20、电子系统之中电子密码锁是一个重要的组成部分,而电子密码锁以前都是硬件电路设计或者单片机来实现的,随着时代的发展,这些设计的缺点越来越突出,FPGA作为当代科技的产物越来越应用到各行各业中,电子密码锁也要赶这个潮流,我的论文就这么来的。1.2 本课题研究的目的和意义在现代的车载电子系统中,为了更能智能化的控制电子密码锁,让我能更方便的使用,让它有更好的稳定性和使用性,针对单片机的设计的缺点,我采用FPGA技术,通过Quartus这个高大上的软件实现,设计了一个电子密码锁,并通过上面那个高大上软件来实现。使用V HDL这种普遍的硬件编程语言对系统用自上而下的方法进行了描述,并在FPGA芯片Quar

21、tus上实现。我的设计充分利用了FPGA的可编程的资源特点,可很好的对该系统进行更新和保护。因此,使用FPGA 开发的数字系统,不但有很高的工作稳定的可靠性,其升级与改进也特别的方便,应用前景十分良好。1.3 主要设计任务通过对FPGA技术的学习和了解,设计基于的车载电子系统的电子密码锁程序。要求有数码输入功能,数码清除功能,密码更改功能,激活电锁功能,解除电锁功能,数字位退格功能。并在Quartus II9.0软件和ModelSim-Altera 6.4a的联合使用下实现了系统的编译仿真与调试。2关键技术简介2.1FPGA硬件设计描述2.1.1 FPGA的设计流程FPGA设计过程包括系统设计

22、与实现的设计,本系统的设计实施阶段的工作完成后,他将作为系统输入,系统输入时一个比较厉害的型号被输入进来了,这个型号十分的高大上很是稳定,时间序列分析,对器件编程和系统验证的设计就是,如果模拟错了或走错了一步,要找出问题并更改才行。但是如果系统模拟成功了,那就可以实现各种各样你想要的功能了,一般来说,RTL意思是用来描述是示意或VHDL改变设计代码的最有用的方法了。为了实现最的终设计有时要反复修改,经过多次的修改才行。在理论上,VSLI(Ultra Scale Large Integration,超大规模的集成电路)的设计描述层次可以为6个方面,即系统方面的(系统的功能、参数)、算法方面的(描

23、述系统的功能行为)、RLT级、门方面的(逻辑门)、电路方面的(晶管体)、版图方面的(物体工艺)。每一方面的又可分3个侧面来进行描述:行为举止方面的描述、结构方面的描述和物质方面的描述。但是在实际的情况中往往都把算法级行为方面的的描述或者RLT级行为方面的的描述全部称为行为方面的级别的描写,下图2.1为FPGA的设计流程。图2.1 系统设计流程在理论上FPGA设计起来特别的简单明了,不用关心别的那些没用的,只要考虑方面的描述和方面的名系统级,算法级的行为,RLT级,门级4级可以描述。在FPGA系统设计实际上指的是系统级和算法级,和“RLT”主要指的是方面的的RLT描述的行为。在门的网表描述,通过

24、综合工具产生。FPGA的设计流程和相关的概念说明如下:库:指原器件厂家提供的技术支持和Quartus II9.0软件提供的图书馆。有各种各样的基本功来实现各种用户的要求也是十分的满意。需要指出的是,我的系统设计起来十分的复杂,RTL级实现起来很难实现,必须经过长期的细心地观察和刻苦的长期努力,Quartus II9.0软件给我提供了很大的帮助,这不愧是一款当今社会主流的硬件编程软件,编译和仿真都很有效的实现了。当然库作为一个重要的组成部分也是十分有用的,费了我好大的帮助,还好最后实现了仿真,我也是很激动的,太不容易了。测试激励:指的是测试的系统程序是否产生的信号的反应,产生的反应就叫做测试激励

25、。可以观察FPGA是不是正确的。所有的仿真可用于测试看不看的刺激。约束:是指综合方面的逻辑上的和布局布线时候上的约束。这对编程的时候要求很好,也很重要,是十分重要的一个步骤。确实需要很好的约束一下,要不然调试的结果很容易出错。2.1.2 VHDL语言的基本结构VHDL(Very high speed integrated circuit Hardware Description Language)硬件描述语言从高于逻辑级的抽象层次上描述硬件的功能、信号连接关系及定时关系。VHDL的设计流程如2.2图。图2.2 VHDL的设计流程通常一个完整的VHDL语言程序包含实在体(Entity)、结构体(

26、Architecture)、配置(Configuration)、包集合(Package)和库(Library)这五个部分。1、实在体 实在体描述部分是对设备外观图片的描述,这是从外部装置的外观看,包括设备的端点的口,还可以定义参数,以及参数在模块外面的重点,主要用于系统的外部接口的设计描述。2、结构体结构体是设备内部图像上的描述,是个一次设计的单位。相应的实体上的单位,编制并纳入数据图书馆设计初步设计后,它只能被纳入图书馆的设计。结构描述了一种设计方法构和行为,一个输入和输出的设法设计的关系。表示设备的不同设计可以有多种结构,方案。一个从抽象到具体的设计的过程中,结构的描述分为行为方面的描述,

27、寄存装置上传输的描述和构造的描述这三个层次。3、库库是一个实在体,编译器结构,数据采集和配置。总要说在前面的设计单位使用库。有一次,在设计单元库的数据是可见的,因此共享设计成果已编译。VHDL语言库大约可以分成IEE库,标准库,ACSI库,厂家提供的用户定义的库和库工作。4、包馆合 这个名词我都没听过,查过XX后我恍然大悟,它就相当于大大的图书馆,可以在里面存放许多资料信息,用的时候再去里面找就行。5、配置配置语从库作为一个实体,选择一个特定的结构,是在图书馆的一个地方是一个编译个体,并有相应的配置名称。通过配置手段,可以选择各种不同的结构,性能测试设计任务使用仿真工具对的各种配置。此外,配置

28、方法和法规的特点可以在多层的描述。2.1.3 自上而下(TOP DOWN)的设计方法自上而下的设计方法就是一种新的现代的电子系统的设计的惯用套路了,从而从整体设计上来要求,自上而下逐渐实现设计算数模型的设计仿真与调试,以及数据流级的仿真设计,系统设计选型,最后完成系统硬件的整体设计。半导体制造厂的制造过程中产生的基于FPGA的网络芯片,是基于FPGA芯片的配置比特流文件的网表生成。经过我长期的实验和观察我发现了许多的问题都出现在Quartus II9.0软件编译的时候,但是人家软件都给我提示出来了。这是用VHDL语言设计硬件系统最突出的优势。2.2 设计语言、仿真平台与开发系统(1)硬件描述语

29、言我用的VHDL这种很普遍的硬件设计语言设计电子密码锁,可以支持各种各样的设计方法,独立的硬件描述和具体的技术和硬件构造,可以方便地调整大小和结构设计,标准规范,易于共享和复用,易于移植的ACSI。这可是硬件编程语言界的最好用的方法之一了,太厉害了。(2)Quartus软件开发工具软件实现仿真的工具我用的是美国公司推出的Quartus II9.0软件,它就是生产大名鼎鼎的骁龙处理器的公司,能用VHDL,Verilog HDL硬件描述语言入,还使用ModelSim-Altera 6.4a工具进行编辑编译和仿真,可以满足我的设计的所有需要,是一款十分主流的软件,对它我很满意放心。(3)EDA实验开

30、发系统在实验设计中我使用VHDL语言来编写,这是一种通用的硬件编程语言,各路大神都用它。它的特点是可以实现从写程序到实现它的运行调试的一条龙服务,真是编程工作中人们的福音啊。2.3 用Quartus进行系统开发的设计流程以下为使用Quartus来实现电子密码锁设计的流程(1)编写VHDL的程序(使用VHDL File);(2)编译VHDL的程序(使用Compiler);(3)仿真验证VHDL的程序(使用 Waveform,Simulator);(4)进行芯片时间顺序上的解读(使用Timing Analyzer);(5)安排芯片引脚的位置(使用Floorplan Editor);(6)下载程序至要用的芯片(使用Programmer)。3 系统总体设计3.1 电子密码锁设计的原理1、编码总量的确定电子密码锁的随机开锁成功概率的定义为: P = 1 / NT (3.1)其中p是随机开锁成功的概率,NT代码总。显然锁安全性高,NT应该尽可能大,所以P趋于零,但更大的进步,相应的电路太复杂了,更多的内存和麻烦的密码。所以NT应该合理的上限和下限。NTL的下限值的选择应使随机解锁操作时间成功的密码。如果每次解锁时间T,随机试验为新台币,将在1 / 2分成N段1 / n段预计解锁成功。在这段

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1