ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:2.16MB ,
资源ID:5846545      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5846545.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(零基础学FPGA开发入门资料.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

零基础学FPGA开发入门资料.docx

1、零基础学FPGA开发入门资料目录简介fpga入门级资料教程verilog资料本部分主要由5部分教程构成,分别是VerilogHDL扫盲文、 VerilogHDL那些事儿_建模篇、Verilog_HDL_那些事儿_时序篇、Verilog_HDL_那些事儿_整合的概念Verilog 最后的私私细语。5部教程由浅入深,细细讲解。即便是你没有任何fpga基础,从没有接触过fpga,你也能看懂。书中还包含有大量的实验,从最简单的流水灯开始,一步步教你走进fpga的世界。在开始本部分学习之前,推荐先阅读夏宇闻教授编著的数字逻辑设计,可以快速的帮助你入门。此书也收录在本资料目录下。modelsim资料本部分

2、包括由modelsim视频教程+源文件及modelsim入门视频两部分。在学习fpga的时候,会用到fpga强大的仿真工具modelsim,本部分内容详细的讲解了modelsim软件与quartus软件的联合仿真,以及单独使用modelsim的时候,如何仿真。每集仿真视频均含有源文件,方便大家在联系的时候,调用验证。在学习verilog的时候,可以结合本部分资料练习仿真,以判断自己的程序是否有误。fpga开发流程快速入门视频本部分视频教程详细介绍了fpga的开发流程,在实际进行fpga开发学习的时候,建议先对本部分视频进行学习。夏宇闻verilog视频本部分视频教程由著名教授夏宇闻亲自讲解,在

3、学习本部分视频的时候,建议与夏教授编著的数字逻辑设计这本书一起学习。便于理解verilog语言。周立功verilog视频本部分视频由周立功讲解verilog视频教程,在学习verilog语言 的时候,建议学习本部分视频教程。特权FPGA视频教程(35集全)本部分视频内容由特权同学讲解,每集均以一个实验为基础,学习fpga的开发流程,verilog语言的解读,modelsim仿真软件的使用,以及常见逻辑算法的介绍等。这部分视频内容建议在学完verilog的基础上观看。本部分对于学习fpga的过程帮助甚大,一定要仔细理解。零基础学FPGA开发入门资料 视频教程系列QQ:903853270 下载地址

4、:fpga进阶级资料教程Altera.FPGA入门及提高教程(43集全)Altera 官方视频教程,共43集。主要讲述fpga开发流程细节,以及fpga某些功能的开发技巧,建议有一定verilog基础的时候开始学习。NIOSII视频教程本部分包含nios基础实验视频和nios入门视频,可以进行nios的学习。NIOS那些事儿教程+实验代码本部分主要讲解nios ii那些事儿,以各个实验为基础,详细介绍了nios,对于想学习nios的同学深有帮助。瞎搞TimeQuest_的无责任笔记本部分主要对Timequest进行介绍,主要以笔记的形势记录了作者对timequest的理解,并配以实验实例讲解。

5、fpga开发原理图本部分收集了fpga开发的原理图方案,大家对原理图分析有助于提高fpga的外围电路的设计能力。大家也可以根据原理图,自己进行设计,diy一块自己的开发板,这样对学习帮助甚大。fpga常用外围电路芯片手册本部分收集了常用fpga外围电路的芯片手册,方便大家设计的时候查阅。Synplify综合工具教程本部分主要为fpga综合工具的教程。若有用到综合工具synplify的时候,可以进行学习TCL管脚配置文档本部分为fpga管脚配置文档,使用这个文档可以自动的分配fpga管脚,十分方便。常用调试工具软件本部分为fpga调试过程中常用的工具软件。均收集于此,方便学习。testbench

6、教程本部分主要是写测试文档,以仿真verilog程序,对于想了解fpga仿真方面的同学可以着重学习。Fpga开发高级资料Fpga开发文档集本部分收集了大量有关fpga开发的秘籍,技术资料,开发方案等,有需要方便查询,学习。FPGA相关论文大全本部分收集了大量有关fpga开发的论文,主要为涉及到的各类算法实现,还有大量与fpga图形处理方面的论文介绍。方便学习。MODELSIM资料集本部分资料可以作为modelsim入门资料的补充资料,可以加深对modelsim的学习NIOS资料集本部分资料可以作为nios入门资料的补充资料,可以加深对nios的学习QUARTUS资料集本部分资料主要介绍quar

7、tus软件的使用,以及软件的高级运用。SDRAM资料集本部分资料可以作为modelsim入门资料的补充资料,可以加深对modelsim的学习VERILOG资料集本部分资料可以作为verilog入门资料的补充资料,可以加深对verilog的学习VHDL资料集本部分主要是介绍vhdl语言的文档资料及各类书籍,需要学习vhdL的同学可以了解。有限状态机本部分主要收集了大量有限状态机的资料,状态机的设计方法以及在各种运用中的实现。赠送资料1:verilog那些事_建模篇赠送资料2:verilog那些事儿_整合篇赠送资料3:零基础玩转FPGA视频教程赠送资料4:Altera公司培训视频教程赠送资料5:modelsim视频教程赠送资料6:NIOS II视频教程赠送资料7:夏宇闻verilog视频教程赠送资料8:NIOS实验教程代码及资料赠送资料9:verilog实验教程代码及资料赠送资料10:FPGA原理图资料赠送资料11:FPGA论文资料赠送资料12:有限状态机资料赠送资料13:常用芯片资料赠送资料14:常用调试工具

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1