ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:289.84KB ,
资源ID:5771247      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5771247.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(乒乓球游戏机的设计.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

乒乓球游戏机的设计.docx

1、乒乓球游戏机的设计电子课程设计乒乓球游戏机目录一、 设计任务与要求二、 总体框图三、 选择器件四、 功能模块1. 信号控制模块2. 分频模块3. 片选信号产生模块4. 数码管控制模块5. 38译码模块6. 非门7. 显示模块五、 总体设计电路1. 总体电路的原理图2. 总体电路的管脚分配图3. 总体电路的仿真图4. 实验连线与硬件验证情况5. 方案二介绍六、 心得体会乒乓球游戏机一、设计任务与要求任务:设计一个乒乓球游戏机,模拟乒乓球比赛的基本过程和规则,并能裁判和自动计分。要求如下:1. 使用乒乓球游戏机的甲乙双方在不同的位置罚球或击球。2. 乒乓球的位置和移动方向可由发光二极管和依次点亮的

2、方向决定,为球的移动速度为一定值(我们设计中设为0.5秒移动一位)。使用者可按乒乓球的位置发出相应的动作,在其他时候击球视为犯规,给对方加一分;都犯规双方各加一分。二、总体框图图1 总体框图设计思路用8个发光二极管代表乒乓球,在游戏机两侧各设置二个开关,一个是发球开关,一个是击球开关。即若A方按动发球开关时,靠近A方的第一灯亮,然后二极管由A向B逐次点亮,代表乒乓球在移动。当过网后(按设计者规定的球位),B就可以击球,否则判B方失分,A方自动加分,重新发球比赛继续进行到一方计分到21分,该局结束,计分牌清零,可以开始新的一局比赛。反之B发球时也一样。将核心模块分俩个进程:第一个实现逻辑功能,第

3、二个将整数记分转换为十进制数,便于译码显示,其中为方发球键;用模块产生数码管的片选信号;Ch41a模块用于送出数码管的片选信号变化的数据;得分显示模块用七段译码器。各个状态间的转移控制要根据要求来改变转移的状态由于设计要求实现LED灯依此从下到上,或从上到下的移动,同时球拍击球。若击中,则球向相反方向移动,若未击中,则对方得1分。很明显用VHDL中的状态机来实现其功能将非常简便和明了。就其功能,若要实现记分,就得用到7段数码管,考虑到硬件要求,考虑用动态扫描技术来解决。动态扫描前要进行译码,即把记数得分的结果译码成七段码。B得分设计方案方案一:完全用VHDL语言编写程序。方案二:用硬件模块设计

4、。三、器件选择1、装有QuartusII软件的计算机一台。2、EDA实验箱一个(1)7段数码显示管。(2)8个LED灯。(3)芯片:使用Altera公司生产的Cyclone系列芯片,选用 EP1C12Q240C8片。3、下载接口是数字芯片的下载接口(JTAG)主要用于FPGA芯片的数据下载Cyclone的性能特性:Cyclone器件的性能足以和业界最快的FPGA进行竞争。Cyclone FPGA内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本

5、特点主要有:(1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 (2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 (3)FPGA内部有丰富的触发器和IO引脚。 (4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。(5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。四、功能模块方案一(一) 控制模块:是该电路的核心模块有两个功能能a) 是实现整个设计的逻辑功能,当游戏开始时,先通过CLR对整个系统进行清零,在输入CLK上升沿有效的条件

6、下,甲方开始发球,LED灯从距离甲方最近的一个开始闪亮,并依次向乙方移动,过了网后乙方就可以击球,若乙方击球成功,则球按原路返回,再次过网后甲方就可击球,若甲击球成功,则按以上游戏一直进行下去,若有一方击球失败或提前击球则LED灯全部熄灭,对方在记分牌上自动记一分;当其中的一方记满21分时比赛结束,清零后可开始下一局。b) 是将整数计分转换为十进制数,便于译码显示。当甲乙双方的记分低位到达9时使低位清零,同时使高位加1,以便正确地在数码管上显示得分。CLR为乒乓球游戏清零键,af为A方发球控制键,aj接球键; bf为乙方发球控制键,bj接球键;CLK为控制乒乓球行进速度的时钟信号,接50MHZ

7、时钟信号源;SHIFT7.0为LED灯显示输出端,接8个LED显示灯;ah3.0为甲方记分的高位,al3.0为甲方记分的低位,bh3.0为乙方记分的高位,bl3.0为乙方记分的低位,以上四位都要经过译码器译码后接8位共阴极数码显示管。图2 控制模块 VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity coma isport(clr,af,aj,bf,bj,clk:in std_logic; shift:out std_logic_vector(7 downto 0); ah,

8、al,bh,bl:out std_logic_vector(3 downto 0);end coma;architecture com_arc of coma issignal amark,bmark:integer;begin process(clk,clr)variable a,b:std_logic;variable she:std_logic_vector(7 downto 0);begin if clr=0then a:=0; b:=0; she:=00000000; amark=0; bmark8 then if bj=0then amark=amark+1; a:=0; b:=0

9、; she:=00000000; else she:=0&she(7 downto 1); end if; elsif she=0 then amark=amark+1; a:=0; b:=0; else if bj=0then a:=0; b:=1; else she:=0&she(7 downto 1); end if; end if; elsif a=0and b=1 then if she16 and she/=0then if aj=0then bmark=bmark+1; a:=0; b:=0; she:=00000000; else she:=she(6 downto 0)&0;

10、 end if; elsif she=0 then bmark=bmark+1; a:=0; b:=0; else if aj=0then a:=1; b:=0; else she:=she(6 downto 0)&0; end if; end if; end if; end if; shifttmp1 then if ala=1001then ala:=0000; aha:=aha+1; tmp1:=trap1+1; else ala:=ala+1; tmp1:=tmp1+1; end if; end if; if bmarktmp2 then if bla=1001 then bla:=0

11、000; bha:=bha+1; tmp2:=tmp2+1; else bla:=bla+1; tmp2:=tmp2+1; end if; end if; end if; al=ala; bl=bla; ah=aha; bh=14999999 then cnt:=0; tmp:=not tmp; else cnt:=cnt+1; end if;end if;outclk=tmp;end process;end one; 波形仿真 波形分析:CLK输入50MHZ的脉冲信号,经分频OUTCLK输出2HZ的脉冲式乒乓球以0.5/S的数度运动符合要求。(三) SEL模块:片选信号产生模块,用来产生数码

12、管的片选信号。图4 SEL模块其与数据转换模块的对应关系如下表所示: 表1接口序号数据转换模块SEL2SEL1SEL0选择数据000d2001d3010d3011d3100d0101d1110d3111d3CLK是扫描时钟信号接50MHZ时钟信号源,A2.0是代表扫描片选地址信号SEL2,SEL1,SEL0的管脚同四位扫描驱动地址的低三位相连。 VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sel is port(clk:in std_logic; sell:out s

13、td_logic_vector(2 downto 0);end sel;architecture sel_arc of sel isbegin process(clk) variable tmp:std_logic_vector(2 downto 0); begin if clkevent and clk=1then if tmp=000then tmp:=001; elsif tmp=001then tmp:=100; elsif tmp=100then tmp:=101; elsif tmp=101then tmp:=000; end if; end if; sellqqqq=d3; en

14、d case; end process;end ch41_arc; 波形图 波形分析:由上述波形图可以看出:在输入片选信号的作用下,当sel为0时,q输出d2的数据, sel为5时,q输出d1的数据,sel为其它数时,q输出d3的数据。波形符合要求。(五) 38译码模块图6 38译码模块 VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity decode3_8 is port(sel:in std_logic_vector(2 downto 0); QQ:out std_log

15、ic_vector(7 downto 0);end decode3_8;architecture one of decode3_8 is begin QQqqqqqqqqqq=1101111; end case; end process;end dispa_arc; 波形仿真 波形分析:由上面仿真图可以看出,译码模块完成了对数据在数码管上正确地显示。波形符合要求。五、总体设计电路图(1)顶层原理框图整体工作情况:clk和clk1分别接扫描时钟信号和时钟信号,其它端口接法如上所述,SEL产生片选信号并作用于数据转换模块CH41A,COMA为核心模块,实现逻辑功能,并且对数据进行数制转换。CH41

16、A将所选数据送到七段译码器模块DISPA,使得分在数码管上显示。(2)、管脚分配图: 按照管脚分配图上所示,对各管脚在EDA实验箱上进行连接,clk接clk5时钟信号源,rd为清零控制键, af接按键,aj接按键, bf发按键,bj接按键,clk接时钟信号源,sell2.0接扫描SEL0、SEL1、SEL2,shift7.0接八个LED显示灯,q6.0接数码管a、b、c、d、e、f、g。在乒乓球游戏开始时,先按rd键对系统进行清零,然后甲或者乙就可以按发球键发球,在LED灯上显示球的行进路径,当过网后另一方就可以击球,数码管设置了两个记分牌,分别来记录甲乙的得分情况。通过自己的实际操作知道此电

17、路完成了设计任务。(3)波形仿真 球运动轨迹结果分析:由上述仿真波形可以知道,并且通过硬件实现知道整个电路完成了设计要求的任务符合。(4)硬件连接情况:af、aj、bf、bj连接EPC12上四位按键PB0、PB1、PB2、PB3。时钟CLK与实验箱上的IO3连。CLK为EP1C12板上的50MHZ晶振输入。Rd为EPIC12板上的复位键。q0q6、Q0Q7与16位数据线连。Shift0shift7与EDA_VI板上的IO9-IO16连接,用于8为LED灯显示。即乒乓球的运动轨迹。VGA3.0左端8个数码管,低8位为7位端总加小数点选取位,高八位为左端8个数码管COM选取,即如果要选取数码管0,则发送总线值为:1111 1110 1111 1111,如果选取数码管1,则发送总线值为1111 1101 1111 1111.此时所选取数码管7端和DP位将全亮。方案二:主模块:双向移位寄存器74LS194。 集成芯片真值表:输入输出功能CR模式CP串行并行Q(0)Q(1)Q(2)Q(3)M(0)M(1)D(sr)D(sl)D(0)D(1)D(2)D(3)Q(0)Q(1)Q(2)Q(3)1*0000清零0*0*011*dddddddd保持001*110101101左移001*0101001010101*11001001右移0100*11001000000*10001000保持 原理框图

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1