ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:229.25KB ,
资源ID:5709996      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5709996.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(洗衣机定时器终稿.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

洗衣机定时器终稿.docx

1、洗衣机定时器终稿课程设计名称: 电子技术课程设计 题 目: 洗衣机定时器设计 学 期:2014-2015学年第2学期专 业:电气工程与智能控制 班 级:智控13-2 姓 名: 王超 学 号: 1305110215 指导教师: 陈忠华 辽宁工程技术大学课 程 设 计 成 绩 评 定 表评定标准评定指标标准评定合格不合格单元电路及整体设计方案合理性正确性创新性仿真或实践是否进行仿真或实践技术指标或性能符合设计要求有完成结果设计报告格式正确内容充实语言流畅标准说明:以上三大项指标中,每大项中有两小 项或三小项合格,视为总成绩合格。总成绩日期年 月 日课程设计任务书一、设计题目洗衣机定时器设计二、设计

2、任务1. 洗衣机电动机正反转由继电器控制,洗涤时间在020min内由用户任意设定。2. 用两位数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。3. 当定时时间到达终点时,一方面使电动机停转,同时发生音响信号提醒用户注意。4. 在送入预置时间后,通过按键控制洗衣机电动机开始运转,洗涤过程可随时停机。三、设计计划电子技术课程设计共1周。第1天:选题,查资料;第2天:方案分析比较,确定设计方案;第34天:电路原理设计与电路仿真;第5天:编写设计报告书。四、设计要求1. 画出整体电路图。2. 对所设计的电路全部或部分进行仿真,使之达到设计任务要求。3. 写出符合

3、设计格式要求的设计报告书。指导教师:陈忠华时 间:2015年6月26日1.方案设计与分析21.1 第一方案21.2 第二方案32.原理及技术指标43.单元电路设计53.1 1HZ脉冲产生53.2 脉冲计时器63.3 译码器与继电器控制电路83.4 整体电路的设计与分析105.课程设计体会12参考文献13摘要 根据设计任务书来看,这次设计是基于数字电子技术的简易洗衣机定时器,通过继电器来控制洗衣机的正反转,并且用指示灯来表示时间倒计时,并会在洗涤结束时发出声音警报。还有两位数码管来显示预制时间和剩余时间。由几个开关与逻辑门实现控制。从信号发生器出来的信号,经过一个控制电路后进入秒计数器进行秒计数

4、。用户初始化后置入洗涤时间,并按开始按钮,洗衣机开始工作。当分计数器变为零的时候,分钟计数器借数,控制电机断电与蜂鸣器发声。与此同时,从秒位借位转化出来的信号控制计数器与译码器和触发器与逻辑门组成的电机控制电路,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警;同时电机指示灯熄灭。复位之后可重新置入洗涤时间进行下一次开始。关键词:电子技术;自动控制;计时器;继电器。综述随着人类社会科技的发展,人们逐渐在用智慧解放劳动力,洗衣服这种费事费力的事情自然会引起很多人的注意,洗衣机也就应运而生。发展至今,洗衣机出现各种样式的,如1.波轮式洗衣机 洗衣特点:冷水型洗衣机、结构简单、省时、

5、省力、省电、价格低、操作简单 适合洗涤衣物:棉织物、化纤织物,主要流行于日本、中国、东南亚等地 2.滚筒式洗衣机 洗衣特点:热水型洗衣机、结构简单、省水、洗净度高、适合多种衣料、洗涤均匀性较好 适合洗涤衣物:羊毛、羊绒及丝绸、纯毛类织物、棉及化纤织物 主要流行于欧洲、南美等主要穿毛、绵为主的地区。 3.搅拌式洗衣机 洗衣特点:冷水型洗衣机、结构复杂、洗净度高、洗涤均匀性好、缠绕率低 主要流行于北美地区。洗衣机的种类各式各样,然而这些洗衣机都有一个重要的部分定时器。洗衣机定时器可以设定洗涤的时间,更好的适应不同的衣服,进行规律的翻转,让洗涤更加彻底,有效。同时,人们可以在洗衣服的时候做别的事情,

6、让生活更加快捷。 1方案论证1.1第一方案1、 对于洗衣机电机的工作顺序:“启动正转20s反转20s暂停20s正转20s时间到停止”设计一个定时器控制洗衣机的电机的运转,由其正传反转及暂停时间分析知道洗衣机运转的周期为60s,并且呈现周期循环,知道时间到停止为止。我们可以利用计数器的功能进行60s的计数,及需要一个秒计数器,并且利用继电器来设置正转吗、暂停、反转。2、如此则需要为计数器设置一个合适的脉冲,设计中的秒计数器所需的脉冲必为1HZ脉冲,因此可以考虑555定时器,利用其构成多谐振荡器产生矩形脉冲信号。3、对于用数码管显示洗涤时间,按倒计数方式对洗涤对洗涤过程作倒计时显示,并且洗涤时间在

7、20分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。分析知道我们还需要设置一个分钟计数器,可以利用秒计数器的借位端BO端连接到分计数器的DOWN端作为分计数器的输入信号来实现秒从分计数器上的借位从而构成分计数器的工作脉冲。在要求中要求倒计时,显然我们需要递减计数器,和利用开关控制计数器的清零端CR的电平或555电源输入以控制电路工作。1.2第二方案通过对于CodeWarrior 软件的操作,编写代码,最终实现freescale MC9S12XS128单片机简单控制洗衣机洗衣功能。 按自己的要求将实验箱连线,通电后,LCD显示屏上面上排显示可以设定的时间,下排显示倒

8、计时的时间,通过键盘输入设定的时间,开始计时,LED显示灯(绿灯亮起)。设置好固定的洗衣时间后,开始执行“洗衣”,倒计时完成后,即“洗衣”完成,在LCD显示屏上面显示“洗衣”的时间到,即时间到00:00,LED所有灯亮,下面是单片机原理图:单片机复位电路时钟电路 数码管显示按键电路图1-2 单片机原理图虽然单片机比电子技术更加方便快捷,电路更加容易搭建,但是基于本学期学习内容,以及编程的难度,我还是选择了数字电子技术进行设计2原理及技术指标 2.1控制电路设计电路设计框图如下: 洗涤时间显示 1HZ脉冲产生60秒脉冲计数器倒计时脉冲计数器洗涤时间+启动 译码器 继电器控制电机 蜂鸣器图2-1

9、电路设计框图3. 单元电路设计3.1 1HZ脉冲产生由555定时器构成的多谐振荡器的电路图如下图所示,由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。因此采用此方案。图311 555多谐振荡器图3-1-2 555脉冲发生器波形图555定时器构成多谐振荡器所属出的矩形脉冲信号的频率计算式为:f=1.43/(R1+2R2)C1故选定原件参数,R1=43K,R2=57K,C1=10F,C2=0.01F。其中电容C2的作用是抗干扰。3.2 脉冲计数器该部分包含60

10、进制秒脉冲计数器与60进制分脉冲计数器。六十进制分计数器和六十进制秒计数器的原理是一样的,不同的只是它们的输入脉冲的进制不同而已,我们利用四片74ls192来实现分计数和秒计数功能,我们要的只是递减计数,所以我们把它的UP端接到高电平上去,DOWN端接到秒脉冲上。十分秒位上的输入端D2、D3端接到高电平上,即从输入端置入0110(十进制的6),秒十位的置数端LOAD端和借位端BO连接在一起,再把秒位的BO端和十秒位的DOWN接在一起。当秒脉冲从DOWN端输入的时候秒计数的74ls192开始从9减到0;这时,它的借位端BO会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直减到

11、0;当高低位全为0的时候,秒十位的BO发出一个低电平信号,DOWN位0时,置数端LOAD等于0,秒十位完成并行置数,下一个DOWN脉冲来到时,计数器进入下一个循环减计数工作中。对于分计数来说,道理当然也是一样的;只是要求,当秒计数完成了,分可以自动减少,需要把秒十位的借位端BO端接到分计数的DOWN端作为分计数的输入信号来实现秒从分计数上的借位。当然,这些计数起工作,其中清零端CLR要处于低电平,置数端LOAD不置数时要处于高电平。把四个74ls192的Qa、Qb、Qc、Qd都接到外部显示电路上就可以看到时间的显示了。作为洗衣机控制的一个模块,它还得有一定的接口来和其他的模块连接在一起协调工作

12、,分计数器和秒计数的清零端CLR是接在一起的,计数器正常工作是CLR处于低电平,所以当要从外部强制清零的时候要给CLR端送一个高电平信号。利用计数器的UP端进行外部置数,当它们各接到低电平(平时保持高电平,外部给一个低电平就输入一个低电平)的脉冲上,就可以实现09的置数了。如此利用四片74ls192构成的递减计数器(包括秒脉冲计数器和分脉冲计数器)以及洗涤时间显示电路设计如下图图322 倒计时计数器电路仿真3.3 译码器与继电器控制电路根据所学的知识,我采用了74HC138译码器作为继电器的控制芯片它的逻辑真值表以及电路图如下:图331 74HC138引脚图当U10A接通时即Y5,Y4为1是,

13、电机正向转动20秒:当U12A接通时即Y3,Y2为1时,电机反向转动20秒;最后20秒两个继电器都不通,电机停转。具体仿真结果如下 图332 译码器与继电器控制电路仿真图333 电机正向转动图334 电机反向转动 图335 电机暂停3.4 整体电路的设计与分析由上面各部分的电路的设计方案的论证以及所选择的电路设计所示的洗衣机定时器,需要的原件有: 8段数码显示 4个; 555定时器一个:蜂鸣器 一个:74LS192芯片4个8输入或门2个 2输入或门1个; 74LS138 一个:继电器EDR201A05 两个整体电路仿真图如下所示:图34 整体电路仿真5. 课程设计体会经过了长达两个星期的艰苦奋

14、战,我们的洗衣机定时器终于成功了。可以说我们已经是筋疲力尽了。刚开始做的时候是热情饱满的,可是做着做着就有些烦躁了。特别是在遇到问题而无法解决的时候,更是没有耐心去检查电路究竟出错在哪里。因为这个电路看起来很好做,但是实际上并不是那么容易。可以说这次课程设计要是没有耐心、细心和决心是绝对做不好的。在遇到困难的时候没我也退缩过,特别是在最后的时候看到别的同学的都已经做好的时候,自己的心里也真的很不是滋味。还有点后悔自己当时上课的时候没好好的听课。但是在最后的关头我们没有放弃,并且互相鼓励,最终我们战胜了一切的困难,取得了胜利。当看到我们的作品成功的时候,我的心里特别的激动,两周的辛苦没有白费。这

15、次设计最大的收获就是做每一件事都必须要有耐心,如果没有耐心我觉得什么事都不会成功。还有就是在遇到困难的时候绝对不能低头,要有恒心和毅力去战胜一切苦难。这次设计不仅锻炼了我的动手能力,还在不知不觉中考验着我的毅力和耐心。从这次设计当中然我懂得了许多道理,要想成功就一定要付出比别人更多的代价。总体来说感觉还是很开心,因为把理论与实际结合是一种非常有效的学习过程,获益匪浅。参考文献1 邱关源,罗先觉. 电路M. 第五版. 北京: 高等教育出版社, 20062 阎石. 数字电子技术基础M. 北京:清华大学出版社, 20053 赵春华,张学军. 电子技术基础仿真实验M. 北京:机械工业出版社, 20054 邓仁清,梁明理. 电子线路M. 北京:高等教育出版社,1978

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1