ImageVerifierCode 换一换
格式:DOCX , 页数:28 ,大小:42.21KB ,
资源ID:5700380      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5700380.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA技术实用教程期末考试.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA技术实用教程期末考试.docx

1、EDA技术实用教程期末考试一填空题1。术语CPLD表示什么意思?(a) (a)复杂可编程逻辑器件; (b)组合可编程逻辑器件;(c)组合可编程局部器件。2。术语FPGA表示 (b)(a)正规的可编程门阵列;(b)现场可编程门阵列;(c)有限可编程门阵列。3。术语HDL代表(a) (a)硬件描述语言; (b)美元崇拜者; (c)硬件开发语言; (d)高级设计语言.4.关于自上而下的EDA设计,选择所有正确的说法。(abcdef)(a)可做到更好的资源分配;(b)使得每一个小的功能模块可以被单独仿真;(c)加速仿真;(d)使器件的行为建模更容易;(e)导致一个低功耗的设计;(f)可在设计组的各成员

2、之间有效地分割一个设计项目5。测试的1010规则是(C )。(a)应该每10天测试10次。(b)对于设计的每个10的部分应该进行10次测试。(c)测试电路的规模不应超过整个电路规模的10,而且设计和调试测试电路所占用的时间不应超过设计和调试原电路所用时间的10。6。术语“功能仿真”的含义是(a)(a)仿真一个设计的功能如何,而不关心其定时;(b)仿真一个设计的功能等效性;(c)仿真设计所代表的精确功能;(d)仿真一个设计的功能和时间特性。7.VHDL程序输入方法主要有(原理图输入法),(文本输入法)和(参数化宏功能块LPM设计法)8.下列说法正确的是(a,c)(a)进程的启动必须有敏感信号;(

3、b)进程语句process 必须有敏感信号列表;(c)进程可以用wait语句启动;(d)进程中的语句顺序颠倒一下不会改变所描述电路的功能9.VHDL用于综合的数据类型主要有(标量)型、复合型和子类型,其中第一种类型包括所有的简单类型如(整数型)、(实数型)、(枚举型)等10.VHDL中的数据对象有(信号)、(变量)、(常量)三种,端口属于(信号)。11。下列有关时钟上升沿触发的描述正确的是(a,d,e).(a)clockevent and clock=1;(b)not clockstable and clock=0;(c)clockevent; (d)clockevent and(clockl

4、ast_lalue=0);(e)rising_edge(clock)。12。下列有关时钟高电平触发的描述正确的是(a,b)。(a)clock =1;(b) clockevent and(clock =);(c) rising_edge(clock).13.有限状态机根据输出方式不同分为(moore)型和(mealy)型,其差别在于(moore型FSM输出只与当前状态有关,而mealy型输出与当前状态和当前输入都有关)14。常用的设计库有(IEEE)、(STD)、(WORK)。其中(WORK等)是显式的,在编程时无需用(use)语句打开。15、术语“时序仿真”表示什么意思?(a)(a)一个过程,

5、它着眼于某个同步设计并确定其最高工作频率,该频率不违反任何建立和保持时间;(b)一种包括了定时延时的仿真;(c)一个过程,它着眼于某个异步设计并调整所有处于临界的路径,以使得它们在一定的时间约束范围以内。16、对于下面的说法选择真或假: (a)总线竞争能降低设计在其整个寿命时限范围内的可靠性. (b)如果总线竞争不能全部消除,那也应该把它最小化。17、对于下面的说法选择真或假: (a)悬浮总线能产生信号噪声。(b)悬浮总线能引起额外的功率损耗。 (c)应该在设计中避免悬浮总线。18、综合软件的功能:(a)将一个低级别的设计描述转换为一个功能上等效的高级别的设计描述;(b)将一个用某一种HDL语

6、言描述的设计转换为一个等效的用另一种HDL语言描述的设计;(c)从一个设计描述中产生一组测试向量;(d)从一个功能上等效的、高级别的设计描述中产生一个低级别的设计描述.19、EDA设计输入方式主要包括(文本输入)、(图形输入)和(波形输入)三种。20、EDA的设计验证包括(功能仿真)、(时序仿真)、(硬件测试)三个过程。21、当前最流行并已成为IEEE标准的硬件描述语言包括(VHDL)和(Verilog HDL).22、将硬件描述语言转化为硬件电路的重要EDA软件称为(综合器)。23、 VHDL用于综合的数据类型主要有(标量)型、复合型和子类型,其中第一种类型包括所有的简单类型如(整数型)、(

7、实数型)、(枚举型)等。24、 VHDL中的数据对象有(信号)、(变量)、(常量)三种,端口属于(信号)。25、 QuartusII支持(图形)、(文本)、(波形)等不同源程序输入方式。26、 maxplus2max2libprim是QuartusII的(基本)元件库,包括(门电路)、(触发器)、(输入)、(输出)、电源等基本元件。27、 maxplus2max2libmf是Max+plusII的(老式宏函数)元件库,包括(加法器)、(编码器)、(译码器)、(计数器)、移位寄存器等74系列基本器件。28、 maxplus2max2libmega_lpm是QuartusII的(参数可设置宏功能)

8、元件库,包括参数可设置的(ROM)、(计数器)等元件。29、VHDL的过程分为(过程首)和(过程体)两部分,调用前必须将它们装入(程序包)中.30、VHDL的函数分为(函数首)和(函数体)两部分,调用前必须将它们装入(程序包)中。31、 在QuartusII集成环境下可以执行(creat symbol files for current file)命令,为通过编译的图形或文本文件产生一个元件符号,该元件符号可以被其它图形或文本文件(调用),以实现多层次的系统电路设计。32、 在初次安装QuartusII软件后的第一次对设计文件的编程下载时,需要选择的 ByteBlaster(MV)编程方式对应

9、计算机的(并行或LPT)口作为编程下载通道,“MV”是(混合电压)的意思.33、 层次化设计是将一个大的设计项目分解为若干个子项目或若干个层次来完成、先从(底)层的电路设计开始,然后在(高)层次的设计中逐级调用(低)层次的设计结果,直至完成系统设计。34、 VHDL设计实体的基本结构包括(库)、(程序包)、(实体)、(结构体)等部分。35、(实体)和(结构体)是VHDL设计实体的基本结构,它们可以构成最基本的VHDL程序。 36、在VHDL的端口说明语句中,端口方向包括(IN)、(OUT)、(INOUT)和(BUFFER)。37、VHDL的数据对象包括(信号)、(变量)和(常量),它们都是用来

10、存放各种类型数据的容器。38、 VHDL的并行语句在结构体中的执行方式是(并行)的,其执行方式与语句书写的顺序无关。39、 VHDL的PROCESS是由(顺序)(顺序/并行)语句组成的,但其本身却是(并行)(顺序/并行)语句.40、 VHDL的子程序有(过程)和(函数)两种。41、一般EDA技术的发展分为(CAD)、(CAE)、(EDA)三个阶段。42、基于EPROM、EEPROM和快闪存储器件的可编程器件的编程信息在断电后(不会)(填会/不会)丢失。43、基于SRAM结构的可编程器件的编程信息在断电后(会)(填会/不会)丢失。44、CPLD器件中包含三种可编程结构(可编程逻辑宏单元LMC)、

11、(可编程I/O单元IOB)、(可编程内部互联PIA)。45、FPGA器件中包含三种可编程结构(嵌入式阵列块LAB)、(I/O单元IOC)、(快速通道FastTrack)。46、指定设计电路的输入输出端口与目标芯片引脚的连接关系的过程称为(引脚锁定).47、标准的边界扫描测试只需要(5)根信号线。48、在PC机上利用VHDL进行项目设计,不允许在(根)目录下进行,必须在根目录下为设计建立一个工程目录(即文件夹)。49.为触发器复位有(同步复位)和(异步复位)两种方法。如果时钟进程中用了敏感信号,则(异步复位)需要把复位信号放入敏感信号列表。二、多项选择题1、术语CPLD表示(A),术语FPGA表

12、示 (E)A、复杂可编程逻辑器件; B、组合可编程逻辑器件; C、组合可编程局部器件。D、正规的可编程门阵列; E、现场可编程门阵列;F、有限可编程门阵列。2、对于下面的说法正确的是(AC). A、悬浮总线能产生信号噪声。 B、悬浮总线能引起额外的功率损耗。C、应该在设计中避免悬浮总线。3、对于下面的说法正确的是(AB). A、总线竞争能降低设计在其整个寿命时限范围内的可靠性. B、如果总线竞争不能全部消除,那也应该把它最小化。C、竞争产生的毛刺不会对时序电路产生影响。4、 在VHDL中,为目标信号赋值用(C),为目标变量赋值用(B),定义信号时可以用(B)为信号赋初值.A、=:;B、:=;C

13、、=;D、=。5、 关于自上而下的设计,选择所有正确的说法.(ABCDEF)A、可做到更好的资源分配; B、使得每一个小的功能模块可以被单独仿真;C、加速仿真; D、使器件的行为建模更容易;E、低功耗的设计; F、可在设计组各成员之间有效地分割一个设计项目.6、 下列说法正确的是(A,C)A、进程的启动必须有敏感信号;B、进程语句process 必须有敏感信号列表;C、进程可以用wait语句启动;D、进程中的语句顺序颠倒一下不会改变所描述电路的功能6、 下列有关时钟上升沿触发的描述正确的是(ADE)。A、clockevent and clock=1; B、not clockstable and

14、 clock=0;C、clockevent; D、clockevent and(clocklast_lalue=0);E、rising_edge(clock).7、下列有关时钟下降沿触发的描述正确的是(A,D)。A、clockevent and clock=0;B、not clockstable and clock=1;C、clockevent; D、clockevent and(clocklast_lalue=1);E、rising_edge(clock).8、下列有关时钟高电平触发的描述正确的是(A).A、clock =1;B、 clockevent and(clock =);C、 ris

15、ing_edge(clock).三单项选择题 (每小题2分,共20分)1、VHDL属于(B)描述语言。A、普通硬件;B、行为级;C、高级;D、低级.2、在设计输入完成后,应立即进行设计文件的(B)。A、编辑;B、编译;C、功能仿真;D、时序仿真.3、基于硬件描述语言的数字系统设计目前最常用的设计方法称为(B)设计法.A、自底向上;B、自顶向下;C、积木式;D、顶层。4、在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为(D)。A、仿真器;B、综合器;C、适配器;D、下载器。5、在EDA工具中,能完成在目标器件上布局布线的软件称为(C)。A、仿真器;B、综合器;C、适配器;D、下载

16、器。6、 QuartusII属于(C)。A、高级语言;B、硬件描述语言;C、EDA工具软件;D、综合软件。7、Multisim2001属于(C)。A、高级语言;B、硬件描述语言;C、EDA工具软件;D、综合软件.7、使用QuartusII图形编辑方式输入的电路原理图文件必须经过(B)才能进行仿真验证.A、编辑;B、编译;C、综合;D、编程。8、 QuartusII的设计文件不能直接保存在(B)。A、硬盘;B、根目录;C、文件夹;D、工程目录。8、 QuartusII的设计文件只能直接保存在(D)。A、硬盘;B、根目录;C、文件夹;D、工程目录。9、在QuartusII集成环境中为图形文件产生一

17、个元件符号的主要用途是(D)。A、仿真;B、编译;C、综合;D、被高层次电路设计调用。10、执行Multisim2001的(A)命令可以为设计电路建立一个元件符号。A、Creat Symbol files for current file; B、Simulator;C、Compiler; D、Timing Analyzer.11、执行QuartusII的(A)命令可以为设计电路建立一个元件符号。A、Creat symbol files; B、Simulate;C、Compiler; D、Transfer.12、 QuartusII的图形设计文件类型为(B).A、 .scf;B、。bdf;C、.

18、vhd;D、。vwf。13、QuartusII的VHDL文本设计文件类型为(C).A、 .scf;B、。bdf;C、。vhd;D、.vwf。14、 QuartusII的波形文件类型为(A)。A、 。scf;B、。bdf;C、。vhd;D、.vwf.15、VHDL最常用的库是(A)。A、IEEE;B、STD;C、WORK;D、PACKAGE.16、在VHDL的端口说明语句中,用(A)声明端口为输入方向。A、IN;B、OUT;C、INOUT;D、BUFFER。18、 在VHDL的端口说明语句中,用(C)声明端口为双向方向.A、IN;B、OUT;C、INOUT;D、BUFFER.19、 在VHDL的

19、端口说明语句中,用(B)声明端口为输出方向。A、IN;B、OUT;C、INOUT;D、BUFFER。20、 在VHDL的端口说明语句中,用(D)声明端口为可反馈输出方向。A、IN;B、OUT;C、INOUT;D、BUFFER.21、在VHDL中,(B)的数据传输是立即发生的,不存在任何延迟行为。A、信号;B、变量;C、数据;D、常量。22、 在VHDL中,(A)的数据传输不是立即发生的,目标信号的赋值需要经过一定延迟时间。A、信号;B、变量;C、数据;D、常量.23、考虑可测试性应该是(A): (A)在设计工作的开始; (B)在设计工作进程的中间; (C)在设计工作的结尾。24、 术语HDL代

20、表(A)。 A、硬件描述语言;B、美元崇拜者; C、硬件开发语言;D、高级设计语言。25、 在VHDL的IEEE标准库中,预定义的位数据类型数据BIT有(A)种逻辑值。A、2;B、3;C、8;D、9。26、 在VHDL的IEEE标准库中,预定义的标准逻辑位数据类型数据STD_LOGIC有(D)种逻辑值.A、2;B、3;C、8;D、9。26、不完整的IF语句,其综合结果可实现(A)。A。 时序电路 B. 双向控制电路 C. 条件相或的逻辑电路 D. 三态控制电路27、 在VHDL的CASE语句中,条件句中的“=”不是操作符,其作用相当于(B)。A、IF;B、THEN;C、AND;D、OR。28、

21、 VHDL的FOR_LOOP语句中的循环变量是一个临时变量,(B)事先声明。A、必须;B、不必;C、其类型要;D、其属性要.29、 在VHDL中,含WAIT语句的进程process语句(B)再加敏感信号,否则是非法的。A、可以;B、不能;C、任意;D、只能30、 VHDL的WORK库是用户设计的现行工具库,用于存放()的工程项目。A、用户自己设计;B、公共程序;C、共享数据;D、图形文件.31、术语“功能仿真的含义是(A)A、仿真一个设计的功能如何,而不关心其定时;B、仿真一个设计的功能等效性;C、仿真设计所代表的精确功能。32、测试的1010规则是(C)A、应该每10天测试10次.B、对于设

22、计的每个10的部分应该进行10次测试.C、测试电路的规模不应超过整个电路规模的10,而且设计和调试测试电路所占用的时间不应超过设计和调试原电路所用时间的10。33、进程(A )。A、只对信号敏感,对变量不敏感;B、只对变量敏感,对信号不敏感;C、对信号和变量都敏感; D、对信号和变量都不敏感。34、QuartusII的设计文件不能直接保存在(B)。A、硬盘;B、根目录; C、文件夹;D、工程目录。35、 在QuartusII工具软件中,包括加法器、编译码器、计数器等74系列期间的元件库是(A)库.A、librariesothers B、libraries primitives C、librar

23、ies megafuction D、libraries mywork36、 在QuartusII集成环境中为图形文件产生一个元件符号的主要用途是().A、仿真;B、编译;C、综合;D、被高层次电路设计调用。37、 执行QuartusII的(B)命令,可以对设计电路进行功能仿真或时序仿真。A、Create Symbol files; B、start Simulation;C、start Compilation;D、Timing Analyzer38、 执行QuartusII的(D)命令,可以精确分析设计电路输入与输出波形间的延时量.A、Create Symbol files; B、start S

24、imulation;C、start Compilation;D、Timing Analyzer39、GAL是指(C)。A、可编程逻辑阵列;B、可编程阵列逻辑;C、通用阵列逻辑; D、通用逻辑阵列。40、PLA是指(A)。A、可编程逻辑阵列;B、可编程阵列逻辑;C、通用阵列逻辑; D、通用逻辑阵列.41、在对PLD器件内部结构进行描述采用的简化符号中,行线与列线相交处若有(B)表示有一个耦合元件固定连接。A、“”;B、“.”;C、无标记。42、在对PLD器件内部结构进行描述采用的简化符号中,行线与列线相交处若有(A)表示有一个耦合元件可编程连接。A、“”;B、“.”;C、无标记。43、在对PLD

25、器件内部结构进行描述采用的简化符号中,行线与列线相交处若有(C)表示有一个耦合元件未连接.A、“”;B、“。”;C、无标记。44、包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线、生成编程数据文件等操作的过程称为(C).A、设计输入;B、设计处理;C、功能仿真;D、时序仿真.45、电子系统设计优化,主要考虑提高资源利用率,减少功耗即面积优化,以及提高运行速度即速度优化;指出下列那种方法不属于速度优化:( A )。A. 资源共享 B. 流水线设计 B。 寄存器配平 D. 关键路径法46、大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是(C)。

26、A. CPLD即是现场可编程逻辑器件的英文简称; B. CPLD是基于查找表结构的可编程逻辑器件;C。 早期的CPLD是从GAL的结构扩展而来;D. 在Altera公司生产的器件中,FLEX10K 系列属CPLD结构。47、综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,(C)是错误的.A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B。综合可理解为将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的;C.综合是纯软件的转换过程,与器件硬件结构无关;D。

27、为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。48、下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的(B)。A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;B.原理图输入设计方法无法对电路进行功能描述;C.原理图输入设计方法一般是一种自底向上的设计方法;D.原理图输入设计方法也可进行层次化设计.49、在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是(A )。A.ROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。B。敏感信号参数表中,应列出进程中使用的

28、所有输入信号;C。进程由说明部分、结构体部分、和敏感信号参数表三部分组成;D.当前进程中声明的信号也可用于其他进程。50、状态机编码方式中,其中(C)占用触发器较多,但其实现比较适合FPGA的应用. A。 状态位直接输出型编码 B。 顺序编码 C。 一位热码编码 D. 以上都不是51、子程序中的语句都是(B)。 A。并行语句 B。顺序语句 C。既有并行语句也有顺序语句 D.既非并行语句也非顺序语句。四、判断并改错题1。SIGNAL a ,b,c : STD_LOGIC_VECTOR (3 DOWNTO 0) ; SIGNAL d,e,f,g : STD_LOGIC_VECTOR (1 DOWN

29、TO 0) ; SIGNAL h,I,j,k : STD_LOGIC ; SIGNAL l,m,n,o,p : BOOLEAN ; .。. a=b AND c; b、c 相与后向a赋值,a、b、c的数据类型同属4位长的位矢量 d=e OR f OR g ; - 两个操作符OR相同,不需括号 h=(i NAND j)NAND k ; - 加括号先执行括号内运算 l=(m XOR n)AND(o XOR p); - 操作符不同,必须加括号 h=i AND j AND k ; - 两个操作符都是AND,不必加括号 h=i AND j OR k ; - 两个操作符不同,未加括号,表达错误 a=b AND e ; - 操作数b 与 e的位矢长度不一致,表达错误 h out1= 1; 选择值中510的值有重叠 WHEN 5 TO 15 = out1= 0; END CASE;3.entity many_errors is port a:bit_vector(3 to 0)b:out std_logic_vector(0 to 3)c:in bit_vector(6 downto O) ;)end many_errorsarchitecture not_so_good of many_errors begin my_label:process begin if c=x”fthen b=a;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1