ImageVerifierCode 换一换
格式:DOCX , 页数:31 ,大小:27.11KB ,
资源ID:5580512      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5580512.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(QuartusII软件的使用.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

QuartusII软件的使用.docx

1、QuartusII软件的使用Quartus II软件的使用入门4 1Quartus II简介Quautus II是Altera公司的综合性PLD开发软件, 支持原理图、VHDL、Verilog-HDL 以及 AHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整的 PLD设计流程。它支持 Altera 的 IP 核,包含了 LPM/MegaFunction 宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对 EDA第三方工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方 EDA工具。在本教程中使用的 Quartus

2、 II的版本为 5.0 。411 设计工作流程Quartus II的设计流程图如图所示。设 计 输设 计 编功 能 仿时 序 仿硬件配置与图Quartus II的设计流程图用户首先对所做项目进行设计,明确设计目的、设计要求。然后利用原理图输入方式或文本输入方式进行设计输入。输入完成后进行编译,若编译过程中发现错误,则应检查设计输入以修改错误,直至没有错误产生。编译完成后进行仿真,检查是否达到了设计要求,若未达到要求,需重新检查设计输入及编译过程,不断迭代、收敛直至满足设计要求。最后将设计配置到目标器件中进行硬件验证与测试。412Quartus II的图形用户界面Quartus II的图形用户界

3、面如图所示,从图中可以看出共有以下几个子窗口。编辑输入窗项目导航窗状 态 窗消 息 窗图 Quartus II 软件的图形用户界面1 Project navigator 项目导航窗口项目导航窗口包括 3 个可以切换的标签: Hierarchy 标签用于层次显示,提供了逻辑单元、寄存器、存储器使用等信息; File 和 Design Units 提供了工程文件和设计单元的列表。2 编辑输入窗口设计输入的主窗口,无论原理图还是硬件描述语言编译、仿真的报告都显示在这里。3 Status 窗口状态窗口,用以显示各系统运行阶段的进度。4 Message窗口消息窗口,实时提供系统消息、警告和错误等信息。5

4、 Tcl Console窗口Tcl命令窗口,可以直接输入Tcl命令或教本文件。4 2Quartus II软件使用本节我们以一个D 触发器的设计为例,详细介绍Quartus II的使用方法,引导读者快速入门,同时对每一步骤,包括设计输入(包括文本输入和原理图输入)、编译、仿真合器件配置等作了较为详细的说明,便于读者理解设计流程。421 设计输入Quartus II 支持多种设计输入方法,既允许用户使用多种方法描述设计,常用的设计输入方式有:原理图输入、文本输入和第三方 EDA工具输入。输入方法不同,生成的文件格式也不同。 QuartusII5.0 版本软件中的设计输入支持的文件格式有: VHDL

5、、Verilog-HDL 、 AHDL、 Block Design File (原理图输入)、EDIF(网表文件输入) 。本例中主要介绍文本输入方法和原理图输入方法。VHDL(.vhd 文件 ) : IEEE 标准描述语言。有美国国防部的逻辑描述标准发展而来,因而系统性、结构性较好。支持从系统级到门级的描述方式,是目前最常用的 HDL描述语言之一,可移植性较好。Verilog-HDL (.v 文件): IEEE 标准描述语言。拥有和 C 语言类似的格式和语法,在 ASIC 设计领域应用广泛。支持行为级描述并在门级描述方面拥有独特的优势,也是目前最常用的 HDL 描述语言之一,可移植性较好。AH

6、DL(.tdf 文件 ) :Altera 公司自有的 HDL描述语言。在 Altera 公司的软件工具及提供的设计资源中应用广泛。虽然并不是主流的 HDL 描述方式,可移植性也不是很好(只能用于 Altera 的综合器),但是使用它在描述一些逻辑尤其是与 Altera 器件底层相关的设计时结合的很好。Block Design File ( .bdf 文件):原理图输入方式。几乎所有的 EDA工具都会提供原理图输入方式,这种方式简单易用而且非常直观,缺点时直观的图形背后调用的模块库不兼容导致了这种描述方式的可移植性不好。值得一提的是, Quartus II 的原理图输入方式实现了从原理图模块到

7、HDL 描述语言的双向自动转换功能,即可以实现原理图和HDL的混合输入,这在进行大型设计时是相当有意义的。EDIF Netlist ( .edf 文件):网表文件输入。网表记录的是设计的组成以及连接方式,由第三方综合工具产生或者 IP 供应商提供。这种输入方式与上述四种的层次是不同的,可以理解为是已经综合完成的设计,Quartus II 会根据网表的描述进行布局布线将设计具体部署到确定的Altera 器件中。下面开始进行设计输入的工作, 此部分分为文本输入设计和原理图输入审计分别对进行 D 触发器的设计输入进行说明,下面首先打开 Quartus II 软件,点击QuartusII5.0 的图标

8、,打开 Quartus II 的图像用户设计软件,如图 所示。图 打开 Quartus II 软件4 2 1 1 文本输入法设计输入:1 创建工程Quartus II 有工程的概念,所谓工程就是当前设计的描述、设置、数据以及输出的集合, Quartus II 会将这些存储在不同类型的文件中并置于同一文件夹吓。所以在开始设计之前,必须创建工程,具体的步骤如下:(1)在启动的 Quartus II 软件下执行 File NewProject Wizard 命令,如图 所示。 Quartus II 会启动新建工程向导,向导的第一个页面是说明页面,介绍了向导所包含的步骤与相关的说明,直接点击【 Nex

9、t 】按钮继续。工程文件工 程 名顶 层 实图 New Project Wizard 菜单命令 图 设置工程名称及顶层实体名(2)向导的第一页用以设置工程文件夹、工程名称以及顶层实体名称,如图 所示。顶层实体名称必须与设计顶层文件的文件名一致,与 VHDL设计中的顶层实体名一致,这一点需要特别注意。另外实体名称也不能为中文,不能使用 VHDL的关键字或者与 Quartus II 设计库中的模块名称相同,如现在建立的设计就不能命名为 DFF,因为 Quartus II 设计库中包含有名为 DFF的 D 触发器设计。一般情况下,推荐工程文件夹、工程名称以及顶层实体名使用相同的名称。(3)点击【Ne

10、xt 】按钮,如果工程文件夹不存在, Quartus II 会弹出如图所示的对话框询问是否新建该工程文件夹。图 新建工程文件夹点击【是】按钮确认进入下一步骤添加设计文件,对话框如图 所示。如果已有完成的设计文件,可以点击【 】按钮或者【 Add All 】将其添加到工程中。这里并没有预先编辑 D 触发器的描述,所以直接点击【 Next 】按钮继续。图 添加设计文件(4) 选择目标芯片的对话框,如图 所示,这里选择的目标芯片是Cyclone 系列的 EP1C6Q240C8。如果用户没有确定的目标芯片,可以仅在Family 栏中选择合适的器件系列,由 Quartus II 自动选择。图 选择目标芯

11、片(5) 点击【 Next 】按钮进入 EDA工具设置页面,如图 所示,用以设置第三方的综合器、仿真器和时序分析工具。默认值为不使用第三方 EDA工具,在本工程中保持默认值不变,直接点击【 Next 】按钮继续。第三发综合第三发仿真第三发时序分析图 设置第三方 EDA工具(6) 在新建工程向导的最后一步, Quartus II 会给出新建工程的摘要信息,点击【 Finish 】按钮即可完成向导。图 新建工程摘要2 文本输入( 1)执行File New 菜单命令打开新建对话框,如图所示。选中Device DesignFiles选项卡中的VHDLFile后,点击【OK】按钮新建一个空白的VHDL文

12、档。Quartus II 会自动将其命名为 Vhdl.vhd ,这时执行 File Save 命令将其保存,保存为对话框如图 所示。图 新建对话框 图 保存设计文件( 2)在新建的 VHDL文档中进行设计输入, D触发器的 VHDL描述如下所示:ENTITY DFF_REG isPORT(CLK,D,CLR: in BIT; 定义端口Q,QN: out BIT);END ENTITY DFF_REG;ARCHITECTURE behavioural of DFF_REG isSIGNAL Qi : BIT;BEGINQ= Qi;QN= NOT Qi;PROCESS(clk,clr)BEGIN定

13、义信号IF (clr=1) thenQi=0;ELSIF (clkevent and clk=0) then 时钟下降沿触发Qi Analysis Current File菜单命令,启动 Quartus II 的语法检查功能,对当前文件进行分析。如果在 Message 窗口中出现 Error ,在修改之后再次执行分析,直至没有错误提示为止。图 语法检查没有错误结果由于 VHDL 的语法较为生硬、格式化,在手工输入中常常会出现错误。Quartus II 的文本编辑器会将 VHDL的关键字高亮来帮助用户在输入过程中避免错误,并且提供了语法检查功能进行完整的分析检错。需要注意的是在阅读 Quartu

14、s II 错误报告时,应该先从第一个错误开始检查,后面的错误大多数情况下都是由前面的错误导致的。直接在消息框中的错误信息上双击,即可在源代码中定位错误位置。3 分配引脚分配引脚的目的是为设计指定输入输出引脚在目标芯片上的位置。分配引脚的方法有许多种,这里介绍的 Assignment Editor 工具是一种比较常用的引脚分配方法。Quartus II 支持预先的 I/O 分配和确认操作,这样可以在整个设计流程中尽早进行印刷电路板的布线设计工作。 同样,设计人员可以在任何时间对引脚的分配进行修改和确认,无需再进行一次设计编译。Assignment Editor 是 Quartus II 提供的综

15、合性的约束编辑器,除了分配引脚之外,在进行逻辑锁定、时序约束,以及 Signal Probe 等功能时都会使用到 Assignment Editor 。引脚分配和最终的硬件平台是密不可分的,读者需要根据自己的硬件连接进行,这里仅作方法的介绍并不限制具体的分配结果,具体步骤如下:(1) 在分配引脚之前, 必须首先对设计进行分析及语法检查。 在 Quartus II 主界面中执行 Processing Start Start Analysis & Elaboration命令,启动 Quartus II 的分析与语法检查。如果发现错误, Quartus II会在下方的消息框给出提示信息,修改后再次执

16、行检查,直至没有错误为止。( 2) 执行 Assignments Assignment Editor 打开,点击窗口左上角的Pin 按钮进行引脚分配,在左侧的工具栏中选中 Show All Known Pin Name按钮列出所有已知的引脚名称,所得的界面如图 所示。主窗口中主要列的解释如下:To:进行分配的目标端口。Location : 引脚名称,使用 PIN_前缀表示。I/O Bank :引脚所属的 I/O 区域。I/O Standard : I/O 电平标准。Assignment Editor 421 2 原理图输入法显 示 所显示设计图 分配引脚( 3) 在 Location 列中点击

17、鼠标可以打开所有未使用引脚的下拉列表, 从中选择需要分配给端口的引脚即可完成引脚分配。 也可以直接输入引脚名称即 PIN_前缀后面的部分,输入完成后按回车继续, Assignment Editor会自动完成换行操作。另外, Assignment Editor 还支持复制、粘贴功能,用户可以将引脚分配表通过剪贴板从文本文件、剪贴板或是另外的复制进来。下面以原理图输入法来进行设计输入, 原理图输入方式也是一种常用的设计输入手段。在此介绍在 Quartus II 中如何使用原理图的方式完成 D触发器的设计输入。1.使用 NewProject Wizard 新建一个工程,工程文件夹、工程名、顶层实体名

18、均为 DFF_BDF。2. 打开 DFF_BDF工程,在 Quartus II 界面中执行 File New 菜单命令打开新建对话框,如图 所示。图 新建对话框 图 保存设计文件选中 Device Design File 选项卡中的 Block Diagram/Schematic File 后,点击【OK】按钮新建一个空白的原理图文档。Quartus II会自动将其命名为Block1.vhd,这时执行FileSave As 命令将其保存,保存对话框如图所示。Quartus II 会自动将保存位置定位到工程目录并默认命名为 .bdf ,在这里只有一个实体,故 DFF_BDF就是顶层。保持默认不变

19、,直接点击【保存】按钮保存文件。3.执行 Edit Insert Symbol 菜单命令,或者在原理图的空白处双击打开图 所示的 Symbol 对话框。库 位符号模块预符号模块重 复 输以块形式插宏功能模块实例宏功能模块管理图 Symbol 对话框对话框左侧的 Library 列表是 Altera 提供符号模块库, 原理图输入方式就是利用这些符号模块来搭建设计的。从 图 中可以看到,库列表分为以下 3个部分:MegaFunctions : 宏 功 能 函 数 库 , 其 中 包 含 Altera 提 供 的LPM/MegaFunction 宏功能模块,是参数可定制的已经完成设计与验证的复杂逻辑

20、模块,如果同时选中宏功能模块实例化复选框, Quartus II 会自动调用 Mega Wizard Plug In Manager 功能进行定制。Oterhs :其他库中包含了与 MaxplusII 兼容的中等规模符号模块,如常用的 74 系列逻辑的符号模块。Primitives :基本符号模块库包含所有基本符号模块,比如各种逻辑门、触发器、输入输出端口等。使用鼠标点击 primitives 前面的“”展开 primitives 库,再点击 storage前面的“”可以看到以一个符号模块 dff 就是 D触发器。选中它之后可以在右侧的符号模块预览窗口中看到 dff 的原理图,如图 所示。这时

21、如果选中Repeat-insert mode 复选框即可以在原理图中重复复制多个 dff 。图 dff 符号模块点击【 OK】按钮后, Quartus II 会将窗口切换回原理图编辑器,鼠标变成十字并跟随一个 dff 的符号模块略图。将鼠标移动到需要放置 dff 的位置后点击左键即可完成放置,如图 所示。图 放置 dff 符号模块4.在原理图中添加了 dff 符号模块后,需要为其搭配上输入输出模块。添加输入输出模块的方法与添加 dff 的方法相同。在 Symbol 对话框中的 primitives库中找到 input 和 output 模块并完成放置即可,完成添加并放置好的原理图如图 所示。5

22、. 在 input 和 output 符号模块上双击打开属性对话框,如图 所示。按照D触发器的输出、输入对其进行重命名,并点击【确定】按钮确认。图添加输入输出符号模块图 重命名符号模块6. 点击工具栏重的 按钮连接模块,将各个模块的输入输出端口对应连接到一起,构成完整的原理图。最终完成的 D 触发器原理图如图 所示。图 最终完成的 D 触发器原理图7.至此,已经完成了原理图方式的 D 触发器设计输入,接下来的编译、仿真和配置器件流程与文本输入方式设计相同。422 编译在标准 PLD设计流程中,编译( Compilation )包括综合( Synthesis )和布局布线( Place&Rout

23、e )两个阶段。在综合阶段,综合器将 HDL语言描述翻译成基本的逻辑门、存储器、触发器等基本逻辑单元的连接关系,称为网表( Netlist )。在这个过程中,综合器会根据用户的约束与本身的算法优化生成网表,目的是让生成的设计拥有更快的速度并占有更好的资源。完成综合后需要根据目标器件进行布局布线,利用芯片内的可用逻辑资源最终将设计在物理层次上实现。Quartus II 将编译划分为 Analysis & Synthesis 、 Fitters 、 Assembler 和Timing Analyzer 4 个阶段。其中 Analysis & Synthesis 就是综合, Fitters 为布局布

24、线;后面的 Assembler 用于生成编译输出文件,而 Timing Analyzer 是时序分析流程。用户可以分别进行编译的这 4 个阶段,也可以直接执行完全编译让Quartus II 自动完成整个编译工作。在我们这里举的例子中使用的是完全编译方式进行编译。1 启动编译在 Quartus II 界面上执行菜单 Processing Start Compilation 或者使用Ctrl L 快捷键启动 Quartus II 的完全编译。可以在状态窗口中看到当前编译的运行状态,如图 所示。图 完全编译状态 图 完成编译在编译的过程中 Quartus II 会在消息框中显示编译中的警告、错误和消

25、息,并在编译结束后给出完成的综合报告。遇到编译错误, Quartus II 会立即终止编译流程,并给出错误信息,双击错误名称, Quartus II 会自动在 HDL代码等设计源描述中定位出错位置。2 完成编译编译完成后, Quartus II 会给出如图 所示的对话框提示,并给出编译报告,如图 所示。其中包括了设计的资源占有信息、时序分析报告等综合信息,用户可以通过阅读这个报告了解 Quartus II 的编译结果。资 源 占编 码 报图 编译报告3 查看 RTL试图通过执行 Tool RTL Viewer 菜单命令可以观察到设计的 RTL试图,如图 所示。在 Quartus II 中,执行

26、完 Analysis and Elaboration 流程后即可以观察 RTL电路图,所以 RTL 电路图是在综合及布局布线前生成的,并非设计的最终电路结构。 RTL试图是设计的寄存器传输级展现,作为设计输入最忠实的体现, RTL试图的主要作用是帮助设计者检查设计输入中的问题。图 RTL 视图从图 中可以看到一个典型的 D 触发器的原理图, 这与本例中的设计是一致的。423 仿真对工程的编译通过后, 必须对其功能和时序性质进行仿真测试, 以了解设计结果是否满足原设计要求。再把设计配置到器件之前,可以通过仿真对设计进行全面测试,保证设计是在各种条件下都能有正确的响应。仿真分为功能仿真和时序仿真,功能仿真仅仅测试设计的逻辑功能;而时序仿真不仅测试逻辑功能,而且测试设计的目标器件处在最差情况下的时序关系。本例中是在编译后进行仿真, 已经包含了设计的延时信息属于时序仿真, 具体的操作步骤如下:1) 新建波形文件在 Quartus II 界面中,执行 File New菜单命令,在弹出的新建对话框中选择 Other File 选项卡,选择 Vector Waveform File 项目,如图 所示。图 新建仿真波形文件 图 另存仿真波形文件点击【 OK】按钮,可以看到 Quartus II 创建的名为 Waveform1.vmf 的仿真波形文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1