ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:209.12KB ,
资源ID:5386408      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5386408.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(多功能波形发生器讲解.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

多功能波形发生器讲解.docx

1、多功能波形发生器讲解 _ 考试序列号 通识教育课程论文 课程设计名称 发生器能 多功波形 课程名称 EDA技术课程设计 学 院 物理学院 专业班级 学 号 姓 名 20 15 年 1月 17日 概述 函数信号发生器是一种能够产生多种波形,如三角波、 锯齿波、矩形波(含方波)、正弦波等波形的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。 现在我们通过对函数信号发生器的原理以及构成设计一个能变换出三角波、正弦波、方波的简易发生器。本设计采用FPGA来设计制作多功能信号发生器。在实验室用EDA完成设计并进行引脚锁定下载连接用II Logic SignalTap Analyer调试。

2、一、设计原理 本设计由信号产生,信号选择,信号控制输出三大模块组合而成。其中信号产生模块有:三角波模块、方波模块、正弦波模块。本设计采用K0K2这三个按键为信号选择开关,选择信号产生模块输出的信号。其控制模块(SIG_CONTROL)是由数据选择器实现对以上三种信号的选择,并产生相应波形。其结构框图如下: 三角波波波形形结束输 开始方波选出择 正弦波信号选择 图1.信号发生器结构框图 二、设计原理概述正弦信号的发生器各一个,三角波信号、(1) 函数信号发生器的结构:方波信号、 数据选择器。 (2) VHDL 顶层设计。 (3)SignalTap的仿真分析。II Logic Analyer 三个

3、波形数据由三个,通过外加按键控制波形输出中实现,FPGA顶层文件在(4) 信号发生模块(delta三角波、方波、sin正弦波)提供,信号发 square 生器时钟由实验箱提供。 三、设计步骤 (1)三角波、方波和正弦波源文件的编写并把VHDL程序转换成原理图。 (2) 数据选择器的源文件编写,并把VHDL程序转换成原理图。 (3) 用原理图完成函数发生器的设计。 (4) 编译完成后,建立 SingnalTap的工程文件。 (5) 锁定引脚,下载连接。 (6) 运行程序并观察结果。 (7)记录数据完成报告。 四、软件设计流程及源程序代码 4.1 软件设计流程: 1.设计原理图。 2.分别编写各函

4、数发生器,数据选择器的程序,并作为低层文件。 3.建顶层文件,并调用底层文件,定义信号量进行列话语句。 4.生成实验原理电路图。 1 三角波程序及其原理图 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY delta IS port(clk,clrn:IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END delta; ARCHITECTURE a OF delta IS BEGIN PROCESS(clk,clrn) VARI

5、ABLE tmp:STD_LOGIC_VECTOR(7 DOWNTO 0); VARIABLE f:STD_LOGIC; BEGIN IF clrn=0 THEN tmp:= ELSIF clkEVENT AND clk=1 THEN IF f=0 THEN IF tmp=I11110 THEN tmp:=I11111; f:=1; ELSE tmp:=tmp+1; END IF; ELSE IF tmp = tmp:= ELSE tmp:=tmp-1; END IF; END IF; END IF; q=tmp; END PROCESS; END a; 原理图如下: 图2.三角波信号发生器原

6、理图 2 方波程序及其原理图 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY square IS PORT(clk,clrn: IN STD_LOGIC; q: OUT STD_LOGIC_VECTOR(7 downto 0); END square; ARCHITECTURE a OF square IS SIGNAL f: STD_LOGIC; BEGIN PROCESS(clk, clrn) VARIABLE tmp:STD_LOGIC_VECTOR(7 downto 0

7、); BEGIN IF clrn=0 THEN tmp:= ELSE IF clkevent and clk=1 THEN IF tmp=I11111 THEN tmp:= ELSE tmp:=tmp+1;END IF; IF tmp00000 THEN f=1; ELSE f=0;END IF; END IF; END IF; END PROCESS; PROCESS(clk,f) BEGIN IF clkevent and clk=1 THEN IF f=1 THEN q=I11111; ELSE q= END IF; END PROCESS; END a; 原理图如下: 图3.方波信号发

8、生器原理图 3 正弦波程序及其原理图 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY sin IS PORT (clk,clrn:IN STD_LOGIC; q:OUT INTEGER RANGE 255 DOWNTO 0); END sin; ARCHITECTURE A OF sin IS BEGIN PROCESS(clk,clrn) VARIABLE tmp:INTEGER RANGE 63 DOWNTO 0; BEGIN IF clrn=0 THEN qqqqqqqq

9、qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqNULL; END CASE; END IF; END IF; END PROCESS; END a; 原理图如下: 正弦波信号发生器原理图4.图 4 数据选择器程序及其原理图 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY sig_control IS PORT(delta,square,sin:IN STD_LOGIC; d0,d1,d2:IN STD_LOGI

10、C_VECTOR(7 DOWNTO 0); q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END sig_control; ARCHITECTURE behave OF sig_control IS SIGNAL sel:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN selqqqNULL; END CASE; END PROCESS; END behave; 原理图如下: 数据选择器原理图5.图4.3信号发生器的顶层文件原理图 由三个函数信号发生器以及数据选择器设计实验顶层文件原理图,如图6示 图6.函数信号发生器顶层文件原理图 五、信号发生

11、器的时序仿真与硬件测试 5.1仿真与测试流程 1.各模块时序仿真结果。 2.进行嵌入式逻辑分析,连接数到模转换芯片,并改变其波形种类和频率,用 SignalTapII Logic Analyer进行观察。 3.观察记录,得到模拟信号波形 5.2时序仿真 1.当选择信号K0置1,K1、K2置0时,信号发生器输出波形为三角波,其时序仿真波形如图7所示。 图7.三角波时序仿真图 时,信号发生器输出波形为方波,其时序仿0置K2、K0,1置K1当选择信号2.真波形如图8所示。 图8.方波时序仿真图 3.当选择信号K2置1,K0、K1置0时,信号发生器输出波形为正弦波,其时序仿真波形如图9所示。 图9.正

12、弦波时序仿真图 5.3引脚锁定,如图10所示 引脚锁定图10.图 5.4 SignalTap II实时测试 1.编译完成后,建立 SingnalTap的工程文件,如图11所示: 图11 2.连接好实验箱后,将编译产生的SOF格式文件配置进FPGA中,进行硬件测试,如图12所示: 图12 3.下载连接,运行程序并观察结果。 当选择信号K0置1时,其余按键置0,信号发生器输出三角波,其模拟信 所示:13号波形如图 图13.三角波模拟信号波形 当选择信号K1置1时,其余按键置0,信号发生器输出方波,其模拟信号 波形如图14所示: 图14.方波模拟信号波形 当选择信号K2置1时,其余按键置0,信号发生

13、器输出正弦波,其模拟信号波形如图15所示: 图15.正弦波模拟信号波形 六、总结及心得体会 通过这次的课程设计,使我更深入地学习了相关专业知识,并能够熟练的操作SignalTap II Logic Analyer99及Quartus软件。通过对单片机、FPGA芯片的使用及对VHDL硬件描述语言学习,让我感到了VHDL硬件描述语言及单片机的方便性和灵活性,可以使编程技能快速提高,并且认识到很多新的设计思想。这给我带来了很大的帮助及深远的影响,使我受益无穷。 设计以FPGA为核心,详细阐述了FPGA内部实现的功能及VHDL程序设计仿真,使用SignalTap II ,各部分模块都能实现仿真。而单片机的设计仅属于本设计的辅助控制部分,且单片机的资料很多,所以在此对单片机的程序不作详细说明。经系统仿真表明,本设计可达到预定要求,应用方便灵活。 本次课程设计虽然取得了一定的收获,但在很多方面还有待于进一步的改进和完善。特别是在信息技术飞速发展的今天,各种电子产品层出不穷,各种技术与方法也在不断地改善,因此对电子技术知识的学习也应不断地充实和更新,以适应工作与社会的需要。 最后是要感谢陈国鼎老师一直以来对我们的认真教导,使我们能够学会了基础的知识和实验技能,并且通过此次的课程设计不仅培养我们动手和独立思考的能力,而且还能让我们在实践操作中更好的运用书本上所学的知识,从而加深理解所学知识。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1