ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:269.41KB ,
资源ID:5334660      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5334660.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字电子技术基础课程设计拔河机计数器.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

数字电子技术基础课程设计拔河机计数器.docx

1、数字电子技术基础课程设计拔河机计数器第1章 引言 现今科学技术日新月异,信息是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的领域之一,数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视、雷达,通信等各个领域。开发数字电路来实现更多的功能,是我们学习数字技术的职责。现在人们越来越感觉到科技带来的实惠方便和娱乐。所以我们更应把所学知识应用到生活当中去,使它给我们添加更多的欢乐和方便。拔河比赛游戏机就是一个结构比较简单但综合性和趣味性的试验,就是设计一个由数字电路构成和显示的游戏机,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按

2、一次,亮点移动一次,移到任一方终端指示灯点亮,这一方就获胜。 第2章 总体设计方案2.1设计思路(1)比赛开始时,由裁判下达命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。(2) 拔河游戏机由15个电平指示灯排列成一行,裁判下达“比赛开始”的命令后,只有中间一个电平指示灯亮,以此作为拔河的中心线,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用

3、.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。(3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。2.2 电路设计原理拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。方案一采用编码电路、整形电路、译码电路、控制电路、胜负显示 各原理和电路图第三

4、章中。方案二本课题,可以用两片74LS192代替74LS193,先将两片74LS192连接成100进制的可逆,然后将其改成十六进制的计数器。用一全加器将两片74LS192的输出信号八位转化成四位,再接给CC4514的输入端。控制电路也可由异或门74LS86和与非门74LS00构成。将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输出为“1”,经与非门产生低电平“0”,再送到两74LS192计数器的置数端的非LD,于是计数器停止计数,处于预置状态,此时,同样将各自计数器数据端D0、D1、D2、D3和输出Q0、Q1、Q2、Q3对应相连,则输入也就是输出,从

5、而使计数器对脉冲不起作用,电路的其他部分不变。两个方案的对比:两方案对比,明显方案一优于方案二,方案二要多加一块计数器和一块全加器,这样无疑增加了电路的成本,且方案一的连接较为简单,但当没有74LS193芯片时,我们可以用方案二代替方案一。所以在方案的选择上一般选择方案一。2.3 设计方案论证 该控制系统由输入、输出和控制器模块构成。输入模块完成裁判启动命令和两个按钮信号的输入,其逻辑关系由门电路实现制器模块完成对输入脉冲信号的统计,由可预置加减计数器构成,其预置数为0100,作为加/减计数的起点,加/减计数的脉冲源分别取自两个按钮信号,计数器输出状态变量进入输出模块;输出模块完成计数器统计信

6、号的翻译与显示(可由发光二极管完成)并给出一个此次比赛结束信号。2.4 整体框图控制 电路复位译码器整形电路选择 开关可逆计数器取胜锁存中心 显示 线按钮A,B图1.1电子拔河比赛游戏机总体设计方框图第3章 单元电路设计3.1编码电路的设计由双时钟二进制同步可逆计数器74LS193构成,它有2个输入端,4个输出端,能进行加减计数。通过编码器来控制电平指示灯的显示,加计数时向右移动,进行减计数时,向相反方向移动。电路图如下:图3.1 双时钟二进制同步可逆计数器74LS193仿真电路图 图 3.23.2 整形电路设计由与门74LS08和与非门74LS00构成。因74LS193是可逆计数器,控制加减

7、的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使A、B二键出来的脉冲经整形后变为一个占空比很大的脉冲,这就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。电路图如下图所示:图3.3 拔河机计数器逻辑图仿真电路图图 2.43.3译码电路 由4线16线译码器CC4514构成。译码器的输出Y0Y15中选

8、15个接电平指示灯,电平指示灯的负端接地,而正端接译码器;这样,当输出为高电平时电平指示灯点亮。比赛准备,译码器输入为0000,Y0输出为1,中心处指示灯首先点亮,当编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移。电路图如下图所示:图3.5 CC4514编制的译码电路仿真电路图 图3.63.4 控制电路LD由异或门74LS86和与非门74LS00构成,其作用是指示出谁胜谁负。当亮点移到任何一方的终端时,判该方为胜,此时双方的按键均宣告无效。将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输出为“1”,经与非门产生低电平“0”,再送到74L

9、S193计数器的置数端,于是计数器停止计数,处于预置状态,由于计数器数据端D0、D1、D2、D3和输出Q0、Q1、Q2、Q3对应相连,输入也就是输出,从而使计数器对脉冲不起作用。电路图如下图所示: 图3.7 74LS86和74LS00组成的控制电路3.5胜负显示电路由计数器CC4518和译码显示器构成。将双方终端指示灯正极经与非门输出后分别接到2个CC4518计数器的EN端,CC4518的两组4位BCD码分别接到实验箱中的两组译码显示器的8、4、2、1插孔上。当一方取胜时,该方终端指示灯发亮,产生一个上升沿,使相应的计数器进行加一计数,于是就得到了双方取胜次数的显示,若1位数不够,则进行2位数

10、的级连。电路图如下:图3.8 计数器CC4518仿真电路图图3.93.6复位控制电路74LS193的清零端CR接一个电平开关,作为一个开关控制,进行多次比赛而需要的复位操作,使亮点返回中心点。CC4518的清零端RD也接一个电平开关,作为胜负显示器的复位来控制胜负计数器使其重新计数。其中CC4518功能表下表所示:输 入输出功能时钟CP清零RD使能EN1全部为001加计数000保持00010图3.10 CC4518功能表第4章.总体设计及仿真结果4.1整体电路工作原理可逆计数器74LS193原始状态输出4位二进制数0000,经译码器输出使中间的一只电平指示灯Y0点亮。当按动A、B两个按键时,分

11、别产生两个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。将双方终端指示灯的正端分别经两个与非门后接到2个十进制计数器CC4518的使能端EN,当任一方取胜,该方终端指示灯点亮,产生1个下降沿使其对应的计数器计数。这样,计数器的输出即显示了胜者取胜的盘数。4.2整机逻辑图 图4. 1电子拔河机整体逻辑图4.3仿真结果图4.2 仿真结果第5章 课程设计总结与体会通过这次课程设计,加强了我们动手、思考和解决

12、问题的能力. 在设计过程中,经常会遇到这样那样的情况,就是心里想着这样的接法可以行得通,但实际一接上电路,总是实现不了,因此耗费在这上面的时间用去很多。 做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。 平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力

13、和最终目的,实践是检验真理的唯一标准。所以这个课程设计对我们的作用是非常大的。通过这次课程设计让我认识到团结协作是我们课程设计成功的一项非常重要的保证。而这次课程设计也正好锻炼我们这一点,这也是非常宝贵的。使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。同时也让我认识到我们现在所学习的知识是远远不够的,通过这次课程设计让我明白了,学习的重要性,也让我深刻的认识到以后学习任务有多么的艰巨。有了这次的课程设计让我从此以后会更努力的学习。 参考文献1 郭照南.电子技

14、术与EDA技术课程设计.中南大学出版社,2010.4.2张永瑞 陈生潭 高建宁 .电路分析基础.(第2版)电子工业出版社2010.8. 3 张克农 宁改娣.数字电路技术基础.(第2版)高等教育出版社, 2010.7.4 胡宴如 耿苏燕.模拟电子基础技术.(第2版 )高等教育出版社,2010.4.5 江世明 黄同成. 单片机原理及应用.中国铁道出版社,2010.12.致谢这次课程设计让我学到了很多东西,感谢老师的悉心指导,感谢同学对我的热心帮助,感谢搭档的配合,每当我遇到困难时能得以及时解决,从而把课程设计顺利的完成。同时感谢学校能给我们这么好的学习机会来使我们对专业知识的更好的理解及应用。 附录:1 原器件清单元器件数量CC4514 4线16线译码器1片CC4518 双同步十进制计数器2片74LS193 同步二进制可逆计数器1片74LS00 四2输入与非门3片74LS08 四2输入与门1片74LS86 四2输入异或门1片电阻: 1K4个开关4个万用表1个导线80-90条灯泡 或LED9个、2个共阴式LED数码管2个2 各元器件的引角图:(1)74LS00 四2输入与非门 (2)74LS08 四2输入与门(3)74LS193 同步二进制可逆计数器(4)74LS86 四2输入异或门(5)CC4518 双同步十进制计数器(6)CC4514 4线16线译码器

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1