ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:180.56KB ,
资源ID:5265348      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5265348.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(信号调制QPSK.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

信号调制QPSK.docx

1、信号调制QPSK成 绩 评 定 表 学生姓名班级学号专 业课程设计题目信号调制(QPSK)评语组长签字:成绩日期年 月 日课程设计任务书学 院专 业学生姓名班级学号 课程设计题目信号调制(QPSK)实践教学要求与任务:通过对课程设计任务的完成,使学生理解课题教学的理论内容,并且能够掌握和熟悉DSP的开发流程和基本的编程方法,熟悉DSP5000系列芯片,并利用CCS5000系列的开发环境进行程序设计,完成相应功能。同时,由于设计中涉及到各种器件的使用,可以起到综合运用各种技术和知识的作用。此外学生的实验技能、动手能力、分析问题、解决问题的能力都将得到培养,为进一步进行工程实践奠定良好的基础。工作

2、计划与进度安排:第1周:熟悉环境,查阅相关资料第2周:代码编译链接与仿真设计第3周:程序调试与编译,性能分析及验收第4周:撰写课程设计报告、答辩指导教师: 年 月 日专业负责人: 年 月 日学院教学副院长: 年 月 日摘 要 调制解调器是利用模拟通信网来完成一系列数据通信的关键设备之一。近些年来,随着科技的快速发展和数据通信业务量的日益增加以及业务范围的不断扩大化,对于Modem的传输速率以及性能指标相应的提出了更高标准的要求。由于DSP芯片具有有体积小、重量轻、使用灵活方便等优点,同时DSP技术具有数据处理能力强、运行速度快的特点,因此基于DSP技术的调制解调器在通信系统中得到越来越广泛的应

3、用。本论文先简要阐述了C55xDSP系统的结构及工作原理,探讨了C55xDSP技术的优势所在。随后在论述调制解调器工作原理的基础上,给出了一种基于C55xDSP的通用基带调制解调器的设计。该调制解调器硬件以C55xDSP芯片为核心,包括FPGA/CPLD、可编程开关电容滤波器、A/D变换器、D/A变换器、编解码器、RS-232异步通信接口电路及时钟电路等。调制解调器软件包括:外设接口初始化、接收、发送、编码、基带调制、滤波、载波同步、位同步、解调、帧同步等。关键词:调制解调器;DSP;滤波;编码目 录绪 论 11 设计任务及目的 21.1设计任务 21.2设计目的 22 设计原理 22.1 Q

4、PSK调制的描述 22 .2QPSK调制原理 23 软件程序 53.2 QPSK调制的DSP实现 93.3符号设定: 103.4主要部分程序: 113.5命令文件 154 程序运行结果及分析 175 结 论 19绪 论21世纪是数字化的时代,随着越来越多的电子产品将数字信号处理(PSP)做为技术核心,DSP已经成为推动数字化进程的动力。作为数字化最重要的技术之一,DSP无论在其应用的深度还是广度,正在以前所未有的速度向前发展。数字信号处理器,也称DSP芯片,是针对数字信号处理需要而设计的一种具有特殊结构的微处理器,它是现代电子技术、相结合的产物。一门主流技术,随着信息处理技术的飞速发展,计算机

5、技术和数字信号处理技术数字信号处理技术逐渐发展成为它在电子信息、通信、软件无线电、自动控制、仪表技术、信息家电等高科技领域得到了越来越广泛的应用。 数字信号处理由于运算速度快,具有可编程特性和接口灵活的特点,使得它在许多电子产品的研制、开发和应用中,发挥着重要的作用。采用DSP芯片来实现数字信号处理系统是当前发展的趋势。近年来,DSP技术在我国也得到了迅速的发展,不论是在科学技术研究,还是在产品1 设计任务及目的1.1设计任务课程设计是实践教学环节。学生通过动手做软件和硬件设计,能够熟练掌握数字信号处理技术,增加对基础知识的消化和理解。其内容包括:FIR滤波器,IIR滤波器,FFT快速傅里叶变

6、换 ,语音处理,D/A转换等。1.2设计目的(1)将数字信号调制成模拟信号(QPSK调制)(2)输出调制后的信号。2 设计原理2.1 QPSK调制的描述四相相移键控调制(Quaternary Phase Shift Keying,QPSK)是一种线性窄带数字调制技术,它已经在数字调制技术中占有重要的地位,被广泛地应用于卫星通信、移动通信、视频会议系统、蜂窝电话和其它数字通信领域。具有频带利用率高、频谱特性好、抗衰落性能强、较低的比特错误率等优点。QPSK 基带调制器的目的是把数据比特;映射成/4 相移D-QPSK 星座图,并对I 和Q 脉冲;进行频谱整形,其调制器工作原理框图如图1 所示。2

7、.2QPSK调制原理所谓的QPSK调制就是利用载波的四种不同相位来表征数字信息,每一种载波相位代表两个二进制代码元信息。由于每一个载波相位代表两个二进制码元信息,所以每四个二进制码元又被称为双比*元。QPSK信号的表示式为(1)式中,是同相支路信号,Q(t)是正交支路信号。 图1给出实现QPSK调制的原理。输人的二进制数字信号经过串并转换电路分为两路速率减半的双极性信号:同相信号,和正交信号Q(t),经低通滤波成形后分别与相互正交的两路载波信号相乘,然后相加即可得到QPSK信号,也可以采用相位选择法来实现QPSK信号输入的二进制数字信号经串并转换后成为双比特码元而载波发生器产生4种不同相位的载

8、波波形根据双比特码元的不同组合,每个比特周期从4种不同相位的载波中选择一种输出,然后经带通滤波器滤除带外干扰信号。就得到QPSK信号,这种方式适用于载波频率较高的 coswct输入 QPSK 信号信号 sinwct 图l QPSK调制原理图 其调制框图如下图2所示。 Y N 图 2 调制子程流程图保存数据 图1中,串并变换器将输入的二进制序列依次分为两个并行的双极性码序列。设二进制数分别为a和b。双极性的a和b脉冲通过两个平衡调制器分别对同相载波和正交载波进行二相调制,两路输出叠加后就可以得到QPSK信号。 3 软件程序3.1主程序yao.asm清单 .mmregs .def startK_I

9、F80 .set 1 .bss x,1 .bss y,1 .bss SINSTP,1 .bss SIN25P,1 .datasinx .usect sinx,1000cosx .usect cosx,1000 fsin .usect fsin,1000fcos .usect fcos,1000qpsk .usect qpsk,3000STACK .usect STACK,10table .word -8*32768/10000 .word -32*32768/10000 .word -75*32768/10000 .word -114*32768/10000 .word -76*32768/1

10、0000 .word 126*32768/10000 .word 532*32768/10000 .word 1081*32768/10000 .word 1617*32768/10000 .word 1949*32768/10000 .word 1949*32768/10000 .word 1617*32768/10000 .word 1081*32768/10000 .word 532*32768/10000 .word 126*32768/10000 .word -76*32768/10000 .word -114*32768/10000 .word -75*32768/10000 .w

11、ord -32*32768/10000 .word -8*32768/10000 SINTAB: 07FFFH,07FD8H,07F61H,07E9CH,07D89H,07C29H,07A7CH,07884H .word 07641H,073B5H,070E2H,06DC9H,06A6DH,066CFH,062F1H,05ED7H .word 05A82H,055F5H,05133H,04C3FH,0471CH,041CEH,03C56H,036BAH .word 030FBH,02B1FH,02528H,01F1AH,018F9H,012C8H,00C8CH,00648H .word 000

12、00H,0F9B8H,0F374H,0ED38H,0E707H,0E0E6H,0DAD8H,0D4E1H .word 0CF05H,0C946H,0C3AAH,0BE32H,0B8E4H,0B3C1H,0AECDH,0AA0BH .word 0A57EH,0A129H,09D0FH,09931H,09593H,09237H,08F1EH,08C4BH .word 089BFH,0877CH,08584H,083D7H,08277H,08164H,0809FH,08028H .word 08001H,08028H,0809FH,08164H,08277H,083D7H,08584H,0877CH

13、 .word 089BFH,08C4BH,08F1EH,09237H,09593H,09931H,09D0FH,0A129H .word 0A57EH,0AA0BH,0AECDH,0B3C1H,0B8E4H,0BE32H,0C3AAH,0C946H .word 0CF05H,0D4E1H,0DAD8H,0E0E6H,0E707H,0ED38H,0F374H,0F9B8H .word 00000h,00648H,00C8CH,012C8H,018F9H,01F1AH,02528H,02B1FH .word 030FBH,036BAH,03C56H,041CEH,0471CH,04C3FH,051

14、33H,055F5H .word 05A82H,05ED7H,062F1H,066CFH,06A6DH,06DC9H,070E2H,073B5H .word 07641H,07884H,07A7CH,07C29H,07D89H,07E9CH,07F61H,07FD8H .word 07FFFH .textstart: SSBX FRCT STM #STACK+10,SP STM #sinx,AR1 STM #cosx,AR3 STM #fsin,AR4 STM #fcos,AR2 STM #qpsk,AR0 ST #0,SIN25P CALL SIN25K STM #x,AR5 STM #y,

15、AR7 ST #0xb8e4,*AR7 ;被调的信息 LD *AR7,B STL B,*AR5 ST #0,SIN25P STM #7,AR6 QPSKSTART: LD *AR5,B AND #11,B SFTL B,5 LD *AR5,A ROR A ROR A STL A,*AR5 LD SIN25P,A ADD B,A ADD #SINTAB,A STM #255,BRC RPTB QPSKEND SUB #SINTAB,A ADD SINSTP,A AND #07FH,A ADD #SINTAB,AQPSKEND: READA *AR0+ BANZ QPSKSTART,*AR6- h

16、ere: B hereSIN25K: STM #500, BRC RPTB SINRET-1 ST #K_IF80,SINSTP LD SIN25P,A ADD SINSTP,A AND #07FH,A STL A,SIN25P ADD #SINTAB,A READA *AR1+ LD SIN25P,A ADD #32,A AND #07FH,A ADD #SINTAB,A READA *AR3+ LD SIN25P,A ADD #64,A AND #07FH,A ADD #SINTAB,A READA *AR4+ LD SIN25P,A ADD #96,A AND #07FH,A ADD #

17、SINTAB,A READA *AR2SINRET RET .end 2. 3.2 QPSK调制的DSP实现3.21参数设定:采样速率384000次/S载波频率48KHZ传输数据速率64Kb/s汉明窗平方根升余弦滚降滚降系数0.3551阶FIR滤波3.22子程序说明:QPSK_demod 子程序用来对接收信号进行波形成型和低通根升余弦特性的滤波。sin_val子程序用线性内插法提高正,余弦值的精度输入输出程序从A/D缓冲区读入16b数据。经过处理后,数据输出至RW_BUFR和RW_BUFI缓冲区,形成为16b数据。3.3符号设定: RW_FILR.set 500H RW_FILI .set 6

18、00H RW_BUFR .set 700H RW_BUFI.set 900H RW_ADB .set 6000H RW_FILL.set 51H RW_BUFL .set 51H RW_ADBL .set 800H RW_BUFIN存放RW_BUFR和RW_BUFI的入口地址 RW_BUFOUT存放RW_BUFR和RW_BUFI的出口地址 RW_ADIN存放RW_ADB的入口地址 RW_ADOUT存放RW_ADB的出口地址 SIN_RP存放表sin_tab_512的读取点位置3.4主要部分程序: psk_demod LD RW_ADIN,A;将A/D缓冲的入口放到A中 SUB RW_ADOUT

19、,A;求A/D缓冲区的入口和出口之差 NOP NOP XC 2,ALT ADD #RW_ADBL,A SUB #8,A BC rw_demod00,ALET;检查A/D缓冲区中是否有采样值要解调 LD RW_BUFOUT,A SUB RW_BUFIN,A NOP NOP XC,2,ALEQ ADD #200H,A SUB #24H,A BC rw_demod00,ALT;检查RW_BUFR和RW_BUFI是否有空间 LD #RW_BUFR,A ADD RW_BUFIN,A;计算RW_BUFR的入口地址 STLM A,AR1 LD #RW_BUFI,A ADD RW_BUFIN,A;计算RW_B

20、UFI的入口地址 STLM A,AR2 LD RW_ADOUT,A ADD #RW_ADB,A;计算RW_ADB的出口地址 STLM A,AR3 STM #50,AR0 ;循环51次 STM #7,BRC RPTBD rw_dem01-1;循环下界到rw_dem01之前 STM #RW_ADBL,BK SSBX FRCT STM #FILR,AR4 STM #FILI,AR5 CALL sin_val;计算正,余弦值 LD *AR3+%,T MPY DATA5,A STH A,*AR4+0 MPY DATA6,A STH A,*AR5+0 RSBX FRCT RPTZ A,#50 MACD *

21、AR4-,scr_wave,A;R(t)信号进行FIR滤波 STH A,*AR1+ RPTZ A,#50 MACD *AR5-,scr_wave,A;I(t)信号进行FIR滤波 STH A,*AR2+rw_demo01 SSBX FRCT LD SR_BUFIN,A;改变RW_BUFR的入口地址 ADD #08H,A AND #1FFH,A STL A,RW_BUFIN LD RW_ADOUT,A;改变A/D缓冲区的出口地址 ADD #08H,A AND #RW_ADBL-1,A STL A,RW_ADOUTrw_demo00 RETsin_val LD SIN_RP,A AND #3FH,A

22、 STL A,DATA0 LD DATA0,9,A STL A,DATA0;取低6b LD SIN_RP,10,A STH A,DATA1;取高9b LD DATA1,A ADD #sin_tab_512,A READA DATA2;第一个数据D1 ADD #1,A READA DATA3;第2个数据D2 LD DATA3,A SUB DATA2,A;D1-D2 STL A,DATA4 LD DATA0,T MPY DATA4,A ADD DATA2,16,A STH A,DATA5;正弦值(D1-D2)*xxxxxxB LD DATA1,A ADD #80,A AND #1FFH,A ADD

23、 #sin_tab_512,A READA DATA2;第一个数据D3 ADD #1,A READA DATA3;第2个数据D4 LD DATA3,A SUB DATA2,A;D3-D4 STL A,DATA4 MPY DATA4,A ADD DATA2,16,A STH A,DATA6;余弦值(D3-D4)*xxxxxxB LD SIN_CH,A ADD #SIN_RP,A;修改sin_tab_512读入地址 ADD #DEG45,A NOP NOP XC 2,ALT ADD #8000H,A AND #7FFFH,A STL A,SIN_RP;保存修改后的地址 RETscr_wave ;滚

24、降系数为0.35的根升余弦表 .word 0fff6H,00003H,00013H,0001eH,00019H,0fffaH,0ffc1H,0ff89H,0ff85H .word 0ffe8H,000c2H,001dcH,002a9H,0026aH,00087H,0fcf9H,0f89cH,0f53cH .word 0f52aH,0fa7eH,00633H,01781H,02bb9H,03ed2H,04c7dH,05174H,04c7dH .word 03ed2H,02bb9H,01781H,00633H,0fa7eH,0f52aH,0f53cH,0f89cH,0fcf9H .word 000

25、87H,0026aH,002a9H,001dcH,000c2H,0ffe8H,0ff85H,0ff89H,0ffc1H .word 0fffaH,00019H,0001eH,00013H,00003H,0fff6Hsin_tab_512;512点正弦值 .word 00000H,00192H,00324H,004b6H,00647H,007d9H,0096aH,00afbH,00c8bH .word 00e1bH,00fabH,01139H,012c8H,01455H,015e2H,0176dH,018f8H,01a82H .word 01c0bH,01d39H,01f19H,0209fH,0

26、2223H,023a6H,02528H,026a8H,02826H .word 029a3H,02b1fH,02c98H,02e11H,02f87H,030fbH,0326eH,033deH,0354dH .word 036baH,03824H,0398cH,03af2H,03c56H,03db8H,03f17H,04073H,041ceH .word 04325H,0447aH,045cdH,0417cH,04869H,049b4H,04afbH,04c3fH,04d81H .word 04ebfH,04ffbH,05133H,05269H,0539bH,054caH,055f5H,0571

27、dH,05842H .word 05964H,05a82H,05b9dH,05cb4H,05dc7H,05ed7H,05fe3H,060ecH,061f1H .word 062f2H,063efH,064e8H,065ddH,066cfH,067bdH,068a6H,0698cH,06a6dH .word 06b4aH,06c24H,06cf9H,06dcaH,06e96H,06f5fH,07023H,070e2H,0719eH .word 0e57eH,0e708H,0e893H,0ea1eH,0ebabH,0ed38H,0eec7H,0f055h,0f1e5H .word 0f375H,0

28、f505H,0f696H,0f827H,0f9b9H,0fb4aH,0fcdcH,0fe6eH3.5命令文件-o converter1.out-m converter1.map-e startMEMORY PAGE 0: EPROM : org=0E000h, len=1000h PAGE 1: DARAM1 : org=1000h, len=1000h DARAM2 : org=2000h, len=1000h DARAM3 : org=3000h, len=1000h DARAM4 : org=4000h, len=1000h DARAM5 : org=5000h, len=100h DA

29、RAM6 : org=5100h, len=100h DARAM7 : org=5500h, len=500h DARAM8 : org=6000h, len=500h DARAM9 : org=0060h, len=10h DARAM10: org=6500h, len=3000h SECTIONS .text : EPROM PAGE 0 .data : EPROM PAGE 0 sinx : DARAM1 PAGE 1 cosx : DARAM2 PAGE 1 fsin : DARAM7 PAGE 1 fcos : DARAM8 PAGE 1 qpsk :DARAM10 PAGE 1 xn :DARAM5 PAGE 1 a0 :DARAM6 PAGE 1 .bss : DARAM9 PAGE 1 STACK: DARAM2 PAGE 14 程序运行结果及分析 图一 调制后 图二 Snap1 图三 载波一图四 载波二图五 载波三图六 载波四5 结 论 随着数字蜂窝系统的发展,对调制解调的技术也将要求越来越高。在数字信号处理飞速发展的今天,采用DSP 技术实现的QPSK 基带调制解调器在技术要求等发面能满足数字蜂窝系统发展的需求,其性能更优于FSK 、ASK 、PSK 等调制方式。QPSK 调制解调的实现过程中,利用查表

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1