ImageVerifierCode 换一换
格式:DOCX , 页数:16 ,大小:107.13KB ,
资源ID:5127099      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5127099.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计实验报告.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计实验报告.docx

1、EDA课程设计实验报告EDA课程设计报告课题名称:16*16点阵显示专 业:通信工程班 级:2013级通信工程(2)班学 号:12013241953姓 名:刘乐指导教师:杨泽林完成时间:2015年12月18号一、设计目的二、课题的主要功能三、课题的功能模块划分四、主要功能的实现五、实验程序六、系统调试与仿真七、总结与体会一 、设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想

2、。 二、课题的主要功能2.1问题描述本实验主要完成汉字字符在LED 上的显示,16*16 扫描LED 点阵的工作原理与8 位扫描数码管类似,只是显示的方式与结果不一样而已。下面就本实验系统的16*16 点阵的工件原理做一些简单的说明。16*16 点阵由此256 个LED 通过排列组合而形成16 行*16 列的一个矩阵式的LED 阵列,俗称16*16 点阵。单个的LED 的电路如下图1 所示:图1 单个LED 电路图由上图可知,对于单个LED 的电路图当Rn 输入一个高电平,同时Cn 输入一个低电平时,电路形成一个回路,LED 发光。也就是LED 点阵对应的这个点被点亮。16*16 点阵也就是由

3、16 行和16 列的LED 组成,其中每一行的所有16 个LED的Rn 端并联在一起,每一列的所有16 个LED 的Cn 端并联在一起。通过给Rn 输入一个高电平,也就相当于给这一列所有LED 输入了一个高电平,这时只要某个LED 的Cn 端输入一个低电平时,对应的LED 就会被点亮。具体的电路如下图2所示:图2 16*16 点阵电路原理图在点阵上显示一字符是根据其字符在点阵上的显示的点的亮灭来表示的,如下图3 所示:图3 字符在点阵上的显示在上图中,显示的是一个“汉”字,只要将被“汉”字所覆盖的区域的点点亮,则在点阵中就会显示一个“汉”字。根据前面我们所介绍的点阵显示的原理,当我们选中第一列

4、后,根据要显示汉字的第一列中所需要被点亮的点对应的Rn置为高电平,则在第一列中需要被点亮的点就会被点亮。依此类推,显示第二列、第三列第N 列中需要被点亮的点。然后根据人眼的视觉原理,将每一列显示的点的间隔时间设为一定的值,那么我们就会感觉显示一个完整的不闪烁的汉字。同时也可以按照这个原理来显示其它的汉字。下图4 是一个汉字显示所需要的时序图:图4 显示时序图2.2功能描述本实验的示例程序依次显示的是“宁大物电学院”,要求每隔一秒换下一个字显示。三、课题的功能模块的划分3.1 系统的总体框图图5此程序分为五个模块,两个计数器和一个行驱动程序和一个显示字体控制程序,最后再加上一个顶层文件,用来连接

5、前四个模块,程序简图如下:CLK1Q1W1CLK图6电路连接简图四、主要功能的实现4.1 8进制加法器设计CNT8.vhd是8进制的计数器,其每计一次数,输出一个字。例如当计数为“000B”时显示“宁”,当计数为“001B”时显示“大”。给其脉冲周期为1S。4.2 16进制计数器设计CNT16.vhd是16进制的计数器,其输出端控制行和列驱动控制器的输出数据。4.3 列驱动设计HANG.vhd为列驱动控制器,该模块控制所亮的行,当输出为0001H时,给点阵的第一行高电平,输出为0010H时,给点阵的第二行高电平,依次类推,逐次给每行高电平。4.4 字体显示控制器XIANSHI.vhd为字体显示

6、控制器,SHI控制的是所显示的字。例如当SHI为00H时,表示显示第一个字;当SHI为01H时,表示显示第二个字,依次类推。WEI控制所显示的为字的第几行,例如当WEI为0000B时,表示输出字的第一行文字信息;WEI为0001B时,表示输出字的第二行文字信息,依次类推。4.5 顶层文件设计JUZHEN16.vhd是顶层文件设计,文件在实体中首先定义了顶层设计元件的端口信号,然后在architecture和begin之间利用component语句对准备调用的元件做了声明,并定义了c,d两个信号作为器件内部的连接线。最后利用端口映射语句PORT MAP()将两个计数器和列驱动,字体显示驱动连接起

7、来构成一个完整的器件。五、实验程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT8 ISPORT( CLK1: IN STD_LOGIC;QOUT : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END ENTITY CNT8;ARCHITECTURE BEHV OF CNT8 ISSIGNAL CQI : STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINPROCESS(CLK1)BEGINIF (CLK1EVENT AND CLK1

8、=1) THENCQI=CQI+1;END IF;QOUT=CQI;END PROCESS;END ARCHITECTURE BEHV;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT16 ISPORT( CLK : IN STD_LOGIC;QOUT : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END ENTITY CNT16;ARCHITECTURE BEHV OF CNT16 ISSIGNAL CQI : STD_LOGIC_VECTOR(3 D

9、OWNTO 0);BEGINPROCESS(CLK)BEGINIF (CLKEVENT AND CLK=1) THENCQI=CQI+1;END IF;QOUT ROW ROW ROW ROW ROW ROW ROW ROW ROW ROW ROW ROW ROW ROW ROW ROW ROWcase wei is when 0000 =b:=1111111111111111; when 0001 =b:=1011111111110111; when 0010 =b:=1101111111110000; when 0011 =b:=1110111011101110; when 0100 =b

10、:=1111111101110110; when 0101 =b:=1000000001110000; when 0110 =b:=0010011111111001; when 0111 =b:=1110111101110110; when 1000 =b:=1111111101110000; when 1001 =b:=1111110000010110; when 1010 =b:=1111010111010110; when 1011 =b:=1110110111010110; when 1100 =b:=1101110000010110; when 1101 =b:=1011111111

11、011110; when 1110 =b:=1011111111011110; when 1111 =b:=1111111111111111; when others=null; end case;When 001=case wei is when 0000 =b:=1111111111111111; when 0001 =b:=1111111110111111; when 0010 =b:=1111111101111111; when 0011 =b:=1110000000000111; when 0100 =b:=1111110111111111; when 0101 =b:=111110

12、1111111111; when 0110 =b:=1111000000000111; when 0111 =b:=1111011011010111; when 1000 =b:=1111011010110111; when 1001 =b:=1111010000010111; when 1010 =b:=1111011101110111; when 1011 =b:=1111010000010111; when 1100 =b:=1111011101110111; when 1101 =b:=1111011101110111; when 1110 =b:=1111111111111111;

13、when 1111 =b:=1111111111111111; when others=null; end case;When 010=case wei is when 0000 =b:=1111111111111111; when 0001 =b:=1111111111110111; when 0010 =b:=1000000000000011; when 0011 =b:=1111111011111111; when 0100 =b:=1111111011111111; when 0101 =b:=1111111011111111; when 0110 =b:=11111110111111

14、11; when 0111 =b:=1111111011111111; when 1000 =b:=1111111011111111; when 1001 =b:=1111111011111111; when 1010 =b:=1111111011111111; when 1011 =b:=1111111011111111; when 1100 =b:=1111111011111011; when 1101 =b:=0000000000000001; when 1110 =b:=1111111111111111; when 1111 =b:=1111111111111111; when oth

15、ers=null; end case;When 011=case wei is when 0000 =b:=1111111111111111; when 0001 =b:=1111101111111111; when 0010 =b:=1111011111111111; when 0011 =b:=1110111100000111; when 0100 =b:=1000111101110111; when 0101 =b:=1110111101110111; when 0110 =b:=1110111100000111; when 0111 =b:=1000000111111111; when

16、 1000 =b:=1110111000000011; when 1001 =b:=1100011111011111; when 1010 =b:=1010101000000011; when 1011 =b:=0110101111011111; when 1100 =b:=0110110111011111; when 1101 =b:=1110111000000011; when 1110 =b:=1110111111111111; when 1111 =b:=1111111111111111; when others=null; end case;When 100=case wei is

17、when 0000 =b:=1101110111110111; when 0001 =b:=1110111011110111; when 0010 =b:=1111111111111111; when 0011 =b:=1111111111011111; when 0100 =b:=1000000000000001; when 0101 =b:=1011111111111101; when 0110 =b:=0111111111111011; when 0111 =b:=1110000000011111; when 1000 =b:=1111111110111111; when 1001 =b

18、:=1111111001111011; when 1010 =b:=0000000000000001; when 1011 =b:=1111111011111111; when 1100 =b:=1111111011111111; when 1101 =b:=1111111011111111; when 1110 =b:=1111101011111111; when 1111 =b:=1111110111111111; when others=null; end case;When 101=case wei is when 0000 =b:=1111111111111111; when 000

19、1 =b:=1111011110011111; when 0010 =b:=1110101111101111; when 0011 =b:=1101110100000001; when 0100 =b:=1101110011111110; when 0101 =b:=1100001011000110; when 0110 =b:=1101001111111111; when 0111 =b:=1101110100000001; when 1000 =b:=1100010111010111; when 1001 =b:=1101101111010111; when 1010 =b:=110111

20、1111010111; when 1011 =b:=1101111110010111; when 1100 =b:=1101111110110101; when 1101 =b:=1101111101110000; when 1110 =b:=1111111111111111; when 1111 =b:=1111111111111111; when others=null; end case;when others=null;end case;qb,QOUT=d );U2: CNT16 PORT MAP( CLK=a,QOUT=c );U3: HANG PORT MAP(datain=c,R

21、OW=Q1);U4: XIANSHI PORT MAP (wei=c,shi=d,Q=W1);END ARCHITECTURE JZ16;六、系统调试与仿真6.1 程序仿真图 图7 CNT16.vhd仿真波形图图8 HANG.vhd仿真波形图图9 顶层仿真图6.2 16*16 LED点阵显示引脚分配1616 点阵信号名称FPGA 引脚说明DOC-C0F7列DOT-C1E8列DOT-C2J8列DOT-C3G9列DOT-C4G10列DOT-C5F11列DOT-C6F9列DOT-C7F10列DOT-C8G2列DOT-C9F1列DOT-C10E1列DOT-C11E2列DOT-C12D1列DOT-C13D2列DOT-C14C2列DOT-C15B2列DOT-R0B3行选择DOT-R1C3行选择DOT-R2H1行选择DOT-R3J2行选择DOT-R4L2行选择DOT-R5J13行选择DOT-R6H15行选择DOT-R7V11行选择DOT-R8E10行选择DOT-R9F12行选择DOT-R10E12行选择DOT-R11F13行选择

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1