ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:365.84KB ,
资源ID:5068064      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5068064.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(实验六LED点阵实验.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

实验六LED点阵实验.docx

1、实验六LED点阵实验 南昌大学实验报告学生姓名: 学 号: 6100210173 专业班级: 中兴101班 实验类型: 验证 综合 设计 创新 实验日期:2012 实验六 LED点阵实验一、实验目的利用EDA技术实现1616 LED点阵屏的的扫描和动态显示。二、实验要求能利用1616 LED点阵显示自己所设计的汉字。(我设计的汉字是“中兴班”)三、实验原理首先对LED屏的显示方式给出方案论证。(1)方案的提出方案一:静态显示。所谓静态显示就是对LED电子显示屏中每一象素点都通过硬件单独控制,整个LED电子显示屏的显示实际上就是所有LED的同时显示。 方案二:采用动态扫描法并行输出数据。所谓动态

2、扫描法实际上是利用人眼的视觉暂留现象而实现的一种显示方法。(2)方案的比较方案一的优点在于编程简单且硬件保证无闪烁。缺点:硬件利用率低,造成硬件成本较高。例如一个16*16 的LED显示屏,如用8位锁存器,需32个,此外还有32个锁存器口地址所需的译码电路以及LED驱动电路。显然,这种硬件开销是不能接受的。方案二采用动态扫描来实现LED电子显示屏的显示过程,软件操作实现简便。本实验采用了采用动态扫描法并行输出数据的显示方法。LED点阵的列为扫描选通信号、行为数据输入。显示采用逐行扫描方式,数据端不断输入数据,列扫描按一定顺序逐行选通,扫描一个周期(16次)产生一帧画面。图5.1以44共阴LED

3、阵列为例,给出了LED阵列的组合方式,列选通高有效,数据低有效;数据端输入数据,选通列根据相应数据亮灯,接着送入第二列数据,选通第二列,依次完成一屏的扫描。图2.6.1 LED阵列结构四、定制ROM的方法 1、建立.mif格式文件:首先在Quartus File菜单中选择NEW,在NEW窗中选择Memory Initialization File 项,单击OK产生ROM数据文件大小选择窗口。这里选ROM的数据数Number为48,数据宽Word size取16位。如图所示,将“中兴班”对应数码输入其中即可,如图所示: 保存为adf.mif 2、定制LPM_ROM元件。在Tools中选择Mega

4、 Wizard Plug-In Manager 产生如图界面,选择Create a new custom 项,如图所示 单击next后,选择VHDLCycloneIIROM1Port 如图所示 选择ROM 控制线、地址线和数据线,如图所示 address5.0,q15.0.接下来按下面步骤操作 单击next出现如下图的对话框,在File name 中输入刚才建立的.mif文件,在Allow 前打勾,并在下面输入ROM1单击next即可按图单击next如下图,单击finish即完成了ROM的定制。打开其生成的语言文件,编译后生成如下图的模块后即可调用了。五、实验程序 实验总图: 分频程序: li

5、brary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-entity fenpin is port( clkin : in std_logic; -20M时钟输入 clk1 : out std_logic ); end fenpin;-architecture behave of fenpin is signal clk : std_logic:=0; begin process(clkin) variable count: integer rang

6、e 0 to 12010:=0; begin if clkinevent and clkin=1 then count:=count+1; if(count=12000) then clk=not clk; -进行24000分频,产生方波 count:=0; end if; end if; clk1=clk; end process;end architecture behave;列选模块程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;

7、-entity he is port( clk1 : in std_logic; -时钟输入 keyc : out std_logic_vector(15 downto 0); -点阵列控制 address : out std_logic_vector(5 downto 0) -点阵行地址输出 ); end he;-architecture behave of he is signal cdount : std_logic_vector(3 downto 0); signal dount : std_logic_vector(9 downto 0); signal S : std_logic_

8、vector(1 downto 0); begin process(clk1) -显示时序控制 begin if clk1event and clk1=1 then dount1 then -“中兴班”三个字循环显示的控制,分频数比列选大 S=00; else S=S+1; end if; end if; if cdount15 then -循环列选 cdount=cdount+1; else cdountkeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeycaddressaddressaddressaddres

9、saddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddressaddre

10、ssaddressaddressaddressaddress=null; end case; end if; end process;end architecture behave;六、 波形仿真先分析列选的仿真情况:如图所示结合上图,看ROM的输出:通过与.mif文件对比发现仿真图的输出与其完全一样,所以程序仿是可以达到实验要求的。七、实验现象 实验现象:LED屏上动态的显示“中兴班”三字。然后改变ROM中数据(通过更替MIF文件),LED屏上产生了不同的动画效果。达到了实验要求的目的。八、实验心得 通过本次实验,最主要的收获是学会了如何去定制ROM并将它运用到点阵中去,学会了利用扫描的方法让点阵滚动显示所需的汉字,列选的时间和字与字之间的时间间隔要控制好才能编出显示效果非常好的图形字符。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1