ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:781.17KB ,
资源ID:5043132      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5043132.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA VHDL 4位全加器实验报告.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA VHDL 4位全加器实验报告.docx

1、EDA VHDL 4位全加器实验报告姓名:车琳 班级:通信1101班学号:*实验一 用原理图输入法设计4位全加器一、实验目的1)熟悉利用Quartus II 的原理图输入方法设计简单组合电路;2)掌握层次化设计的方法;3)通过一个4位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。二、实验内容1)完成半加器、全加器和4位全加器的设计,包括原理图输入、编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设计成一个硬件符号入库。键1、键2、键3(PIO0/1/2)分别接ain、bin、cin;发光管D2、D1(PIO9/8)分别接sum和cout。2)建立一个更高

2、的层次的原理图设计,利用以上获得的1位全加器构成的4位全加器,并完成编译、综合、适配、仿真和硬件测试。建议选择电路模式1:键2、键1输入4位加数:键4、键3输入4位被加数:数码6和数码5显示加和:D8显示进位cout。三、实验仪器1)计算机及操作系统;2)QuartusII软件;3)编程电缆。四、实验原理一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出 cout 与相邻的高位加法器的最低进位输入信号 cin 相接。一个1位全加器可以参考教材介绍的方法来完成。1位全加器示意图如图1所示。其中,其中CI为输入进位位,CO为输出进位位,输入A和B分别为

3、加数和被加数。S为输出和,其功能可用布尔代数式表示为: 五、实验步骤1、完成半加器和全加器的设计,包括原理图输入、编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设计成一个硬件符号入库。键1、键2、键3(PIO0/1/2)分别接ain、bin、cin;发光管D2、D1(PIO9/8)分别接sum和cout。2、建立一个更高层次的原理图设计,利用以上获得的1位全加器构成4位全加器,并完成编译、综合、适配、仿真、和硬件测试。建议选择电路模式1(附图F-2):键2、键1输入4位加数:键4、键3输入4位被加数:数码6和数码5显示加和:D8显示进位cout。1、一位全加器设计输入1)创建文件

4、。采用Filenew菜单,创建图形文件。2)添加元件。采用菜单symbolenter symbol,在弹出的对话框中选中相应的库与元件,添加元件,构成一位全加器并保存。2、一位全加器元件的创建1)对上述文件编译综合仿真。2)生成元件。3)采用菜单FILECREATE SYMBOL生成一位全加器元件。3、4位全加器设计输入1)创建文件。采用Filenew菜单,创建图形文件。2)添加元件。采用菜单symbolenter symbol,选中生成的元件yiweiadder。3)级联。前级1位全加器CO与后级CI相连。4、添加I/O5、设计编译6、器件选择及管脚分配7、设计仿真8、时序分析9、编程下载六

5、、实验结果及分析1、半加器原理图如图2所示:图1 半加器原理图半加器仿真波形图如下图3所示:图2 半加器仿真波形图2、一位全加器原理图如图4所示:图3 全加器原理图一位全加器仿真波形如图5所示:图4 全加器仿真波形图3、四位全加器原理图如图6所示:图5 四位全加器原理图四位全加器仿真波形图如图7所示。从波形可以得出,输入输出满足表达式S=A+B+CI,S15时进位位置1,设计电路功能达到设计要求。图6 四位全加器仿真波形图结论:采用图形编程法实现了四位全加器的设计,并完成了电路的设计编译、综合、逻辑仿真。实验二 8位十六进制频率计设计一、实验目的1)熟悉原理图输入法中74系列等宏功能元件的使用

6、方法;2)掌握更复杂的原理层次化设计和数字系统设计方法;3)完成8位16进制频率计的设计。二、实验内容首先完成2位频率计的设计,然后进行硬件测试,建议选择电路模式2(附录图F-3);数码2和1显示输出频率值,带测频率F_IN接clock0;测频控制是中年CLK接clock2,若选择clock2=8Hz,门控信号CNT_EN的脉宽恰好为1s。然后建立一个新的原理图设计层次,在此基础上将其扩展为8位频率计,仿真测试改频率计待测信号的最高频率,并与硬件实测的结果进行比较。三、实验仪器1)计算机及操作系统;2)QuartusII软件。3)编程电缆。四、实验原理利用教材介绍的2位计数器模块,连接它们的计

7、数进位,用四个计数模块就能完成一个8位有时钟使能的计数器;对于测频控制器的控制信号,在仿真过程中应该注意它们可能的毛刺现象。最后按照设计流程和方法即可完成全部设计。根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉冲宽度为1秒的输入信号脉冲计数允许的信号;1秒计数结束后,计数值锁入锁存器的锁存信号并为下一测频计数周期做准备的计数器清0信号。这3个信号可以由一个测频控制信号发生器产生,即TESTCTL。TESTCTL的计数是能信号CNT_EN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA是能端进行同步控制。当CNT_EN高电平时允许计数;低电平时停止计数,并保

8、持其所计的脉冲数。在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒钟的计数值锁存进个锁存器REG4B中,并由外部的7段译码器译出,显示计数值。设置锁存器的好处是数据显示稳定,不会由于周期性的清0信号而不断闪烁。锁存信号后,必须有一清0信号RST_CNT对计数器进行清零,为下1秒的计数操作作准备。数字频率计的关键组成部分包括一个测频控制信号发生器、一个计数器和一个锁存器,另外包含外电路的信号整形电路、脉冲发生器、译码驱动电路和显示电路,其原理框图如图1所示。 图1 数字频率计原理框图工作原理:系统正常工作时,脉冲信号发生器输入1Hz的标准信号,经过测频控制信号发生器的处理,2

9、分频后即可产生一个脉宽为1秒的时钟信号,以此作为计数闸门信号。测量信号时,将被测信号通过信号整形电路,产生同频率的矩形波,输入计数器作为时钟。当计数闸门信号高电平有效时,计数器开始计数,并将计数结果送入锁存器中。设置锁存器的好处是显示的数据稳定,不会由于周期性的清零信号而不断闪烁。最后将锁存的数值由外部的七段译码器译码并在数码管上显示。五、实验步骤1、完成32位寄存器的设计1) 32位锁存器程序编译;2) 32位锁存器程序允许生成模块;3) 32位锁存器模块。 2、完成32位计数器的设计1) 32位计数器程序编译;2) 32位计数器程序允许生成模块;3) 32位计数器。3、完成频率计控制电路的

10、设计1)频率计控制电路程序编译;2)频率计控制电路程序允许生成模块;3)频率计控制电路。4、完成一个8位16进制频率计电路的设计1)8位16进制频率计电路程序编译;2)8位16进制频率计电路程序允许生成模块;3)8位16进制频率计电路。六、实验结果及分析1、测频控制器仿真波形:图2 测频控制器仿真波形图2、32位锁存器仿真波形:图3 锁存器仿真波形图3、32位计数器仿真波形:图4 计数器仿真波形图4、8位16进制频率计仿真波形如图7所示:图5 8位十六进制频率计仿真波形七、思考题1、简述Quartus的设计流程。 答:Quartus II 图形用户界面的基本设计流程如下:1)使用New Pro

11、ject Wizard(File菜单)建立新工程,并指定目标器件或器件系列; 2)使用Text Editor 建立 Verilog、VHDL 或 AHDL(Altera) 设计,用Block Editor 建立原理图或示意图;示意图中可以包含代表其他设计文件的符号,还可以使用MegaWizard Plug In Manager(Tools菜单)生成宏功能模块和IP功能模块。3)(可选)使用Assignment Editor、Settings对话框( Assignment 菜单)、Floorplan Editor、LogicLock功能 指定初始设计约束条件。4)(可选)使用SOPC Build

12、er 或 DSP Builder 建立系统级设计。5)(可选)使用Software Builder 为 Excalibur 器件处理器或 Nios嵌入式处理器建立软件和编程文件。6)使用 Analysis & Synthesis 综合设计。7)(可选)使用Simulator 和 Generate Functional Simulation Netlist 命令在设计中仿真。8)使用 Fitter 对设计执行布局布线。9)使用PowerPlay、Power Analyzer 执行功耗估算和分析。10)使用Timing Analyzer 对设计进行时序分析。11)使用Simulator 对设计进行

13、时序仿真。12)(可选)使用物理综合、时序逼近(Timing Closure) 布局图、LogicLock功能、Settings对话框 和 Assignment Editor 进行时序改进,实现时序逼近。 13)使用Assembler 为设计建立编程文件。14)使用编程文件Programmer 和 Altera 硬件对器件进行编程,或将编程文件转换为其他文件格式以供嵌入式处理器等其他系统使用。 15)(可选)使用SignalTap II Logic Analyzre、SignalProbe 功能 或 Chip Editor对设计进行调试。16)(可选)使用Chip Editor 、Resour

14、ce Property Editor 和 Change Manager 进行工程更改管理。2. 功能仿真与时序仿真有什么不同?时序仿真使用的仿真器和功能仿真使用的仿真器是相同的,所需的流程和激励也是相同的;惟一的差别是为时序仿真加载到仿真器的设计包括基于实际布局布线设计的最坏情况的布局布线延时,并且在仿真结果波形图中,时序仿真后的信号加载了时延,而功能仿真没有。3. 还有什么其他方法实现频率计功能?答:用STC89C52RC单片机可以实现频率计的功能。附1:全加器原理图附2:频率计相关程序:测频控制电路LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL;USE

15、IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FTCTRL IS PORT ( CLKK : IN STD_LOGIC; CNT_EN : OUT STD_LOGIC; RST_CNT : OUT STD_LOGIC; Load : OUT STD_LOGIC );END FTCTRL;ARCHITECTURE behav OF FTCTRL IS SIGNAL Div2CLK : STD_LOGIC ;BEGIN PROCESS (CLKK) BEGIN IF CLKKEVENT AND CLKK = 1 THEN Div2CLK = NOT Div2CLK; END

16、 IF; END PROCESS; PROCESS (CLKK,Div2CLK) BEGIN IF CLKK = 0 AND Div2CLK =0 THEN RST_CNT = 1; ELSE RST_CNT = 0; END IF; END PROCESS; Load = NOT Div2CLK; CNT_EN = Div2CLK;END behav;计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COUNTER32B IS PORT( FIN : IN STD_LOGIC;

17、 CLR : IN STD_LOGIC; ENABL : IN STD_LOGIC; DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) );END COUNTER32B;ARCHITECTURE behav OF COUNTER32B IS SIGNAL CQI : STD_LOGIC_VECTOR(31 DOWNTO 0);BEGIN PROCESS(FIN ,CLR ,ENABL) BEGIN IF CLR = 1 THEN CQI 0); ELSIF FINEVENT AND FIN = 1 THEN IF ENABL = 1 THEN CQI = CQI

18、 + 1; END IF; END IF; END PROCESS; DOUT = CQI;END behav;锁存器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY REG32B IS PORT( LK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) );END REG32B;ARCHITECTURE behav OF REG32B ISBEGIN PROCESS(LK,DIN) BEGIN IF LKEVENT AND LK=1 THEN DOUT CLK1HZ,CNT_EN = TSTEN1, RST_CNT = CLR_CNT1,Load = Load1 );U2: REG32B PORT MAP( LK = Load1,DIN = DTO1,DOUT =DOUT );U3: COUNTER32B PORT MAP( FIN =FSIN,CLR = CLR_CNT1, ENABL = TSTEN1,DOUT =DTO1 );END struc;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1