ImageVerifierCode 换一换
格式:DOCX , 页数:59 ,大小:571.23KB ,
资源ID:4945630      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4945630.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(第八章FPGA累加器等.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

第八章FPGA累加器等.docx

1、第八章FPGA累加器等第八章 可综合的VerilogHDL设计实例-简化的RISC CPU设计简介-前言:在前面七章里我们已经学习了VerilogHDL的基本语法、简单组合逻辑和简单时序逻辑模块的编写、Top-Down设计方法、还学习了可综合风格的有限状态机的设计,其中EEPROM读写器的设计实质上是一个较复杂的嵌套的有限状态机的设计,它是根据我们完成的实际工程项目设计为教学目的改写而来的,可以说已是真实的设计。在这一章里, 我们将通过一个经过简化的用于教学目的的 RISC_CPU 的设计过程,来说明这种新设计方法的潜力。这个模型实质上是第四章的RISC_CPU模型的改进。第四章中的RISC_

2、CPU模型是一个仿真模型,它关心的只是总体设计的合理性,它的模块中有许多是不可综合的,只可以进行仿真。而本章中构成RISC_CPU的每一个模块不仅是可仿真的也都是可综合的,因为他们符合可综合风格的要求。为了能在这个虚拟的CPU上运行较为复杂的程序并进行仿真, 因而把寻址空间扩大到8K(即15位地址线)。下面让我们一步一步地来设计这样一个CPU,并进行仿真和综合,从中我们可以体会到这种设计方法的魅力。本章中的VerilogHDL程序都是我们自己为教学目的而编写的,全部程序在CADENCE公司的LWB (Logic Work Bench)环境下和 Mentor 公司的ModelSim 环境下用Ve

3、rilog语言进行了仿真, 通过了运行测试,并分别用Synergy和Synplify综合器针对不同的FPGA进行了综合。分别用Xilinx和Altera公司的的布局布线工具在Xilinx3098上和Altera Flex10K10实现了布线。 顺利通过综合前仿真、门级结构仿真以及布线后的门级仿真。这个 CPU 模型只是一个教学模型, 设计也不一定合理, 只是从原理上说明了一个简单的RISC _CPU的构成。我们在这里介绍它的目的是想说明:Verilog HDL仿真和综合工具的潜力和本文介绍的设计方法对软硬件联合设计是有重要意义的。我们也希望这一章能引起对 CPU 原理和复杂数字逻辑系统设计有兴

4、趣的同学的注意,加入我们的设计队伍。由于我们的经验与学识有限,不足之处敬请读者指正。8.1.什么是CPU?CPU 即中央处理单元的英文缩写,它是计算机的核心部件。计算机进行信息处理可分为两个步骤:1) 将数据和程序(即指令序列)输入到计算机的存储器中。2) 从第一条指令的地址起开始执行该程序,得到所需结果,结束运行。CPU的作用是协调并控制计算机的各个部件执行程序的指令序列,使其有条不紊地进行。因此它必须具有以下基本功能:a) 取指令:当程序已在存储器中时,首先根据程序入口地址取出一条程序,为此要发出指令地址及控制信号。b) 分析指令:即指令译码。是对当前取得的指令进行分析,指出它要求什么操作

5、,并产生相应的操作控制命令。c) 执行指令:根据分析指令时产生的“操作命令”形成相应的操作控制信号序列,通过运算器,存储器及输入/输出设备的执行,实现每条指令的功能,其中包括对运算结果的处理以及下条指令地址的形成。将其功能进一步细化,可概括如下:1) 能对指令进行译码并执行规定的动作;2) 可以进行算术和逻辑运算;3) 能与存储器,外设交换数据;4) 提供整个系统所需要的控制;尽管各种CPU的性能指标和结构细节各不相同,但它们所能完成的基本功能相同。由功能分析,可知任何一种CPU内部结构至少应包含下面这些部件:1) 算术逻辑运算部件(ALU),2) 累加器,3) 程序计数器,4) 指令寄存器,

6、译码器,5) 时序和控制部件。RISC 即精简指令集计算机(Reduced Instruction Set Computer)的缩写。它是一种八十年代才出现的CPU,与一般的CPU 相比不仅只是简化了指令系统,而且是通过简化指令系统使计算机的结构更加简单合理,从而提高了运算速度。从实现的途径看,RISC_CPU与一般的CPU的不同处在于:它的时序控制信号形成部件是用硬布线逻辑实现的而不是采用微程序控制的方式。所谓硬布线逻辑也就是用触发器和逻辑门直接连线所构成的状态机和组合逻辑,故产生控制序列的速度比用微程序控制方式快得多,因为这样做省去了读取微指令的时间。RISC_CPU也包括上述这些部件,下

7、面就详细介绍一个简化的用于教学目的的RISC_CPU的可综合VerilogHDL模型的设计和仿真过程。8.2. RISC CPU结构RISC_CPU是一个复杂的数字逻辑电路,但是它的基本部件的逻辑并不复杂。从第四章我们知道可把它分成八个基本部件:1) 时钟发生器2) 指令寄存器3) 累加器4) RISC CPU算术逻辑运算单元5) 数据控制器6) 状态控制器7) 程序计数器8) 地址多路器各部件的相互连接关系见图8.2。其中时钟发生器利用外来时钟信号进行分频生成一系列时钟信号,送往其他部件用作时钟信号。各部件之间的相互操作关系则由状态控制器来控制。各部件的具体结构和逻辑关系在下面的小节里逐一进

8、行介绍。8.2.1时钟发生器时钟发生器 clkgen 利用外来时钟信号clk 来生成一系列时钟信号clk1、fetch、alu_clk 送往CPU的其他部件。其中fetch是外来时钟 clk 的八分频信号。利用fetch的上升沿来触发CPU控制器开始执行一条指令,同时fetch信号还将控制地址多路器输出指令地址和数据地址。clk1信号用作指令寄存器、累加器、状态控制器的时钟信号。alu_clk 则用于触发算术逻辑运算单元。时钟发生器clkgen的波形见下图8.2.2所示:其VerilogHDL 程序见下面的模块:module clk_gen (clk,reset,clk1,clk2,clk4,

9、fetch,alu_clk);input clk,reset;output clk1,clk2,clk4,fetch,alu_clk;wire clk,reset;reg clk2,clk4,fetch,alu_clk;reg7:0 state;parameter S1 = 8b00000001, S2 = 8b00000010, S3 = 8b00000100, S4 = 8b00001000, S5 = 8b00010000, S6 = 8b00100000, S7 = 8b01000000, S8 = 8b10000000, idle = 8b00000000; assign clk1

10、= clk;always (negedge clk) if(reset) begin clk2 = 0; clk4 = 1; fetch = 0; alu_clk = 0; state = idle; end else begin case(state) S1: begin clk2 = clk2; alu_clk = alu_clk; state = S2; end S2: begin clk2 = clk2; clk4 = clk4; alu_clk = alu_clk; state = S3; end S3: begin clk2 = clk2; state = S4; end S4:

11、begin clk2 = clk2; clk4 = clk4; fetch = fetch; state = S5; end S5: begin clk2 = clk2; state = S6; end S6: begin clk2 = clk2; clk4 = clk4; state = S7; end S7: begin clk2 = clk2; state = S8; end S8: begin clk2 = clk2; clk4 = clk4; fetch = fetch; state = S1; end idle: state = S1; default: state = idle;

12、 endcase endendmodule/- 由于在时钟发生器的设计中采用了同步状态机的设计方法,不但使clk_gen模块的源程序可以被各种综合器综合,也使得由其生成的clk1、clk2、clk4、fetch、alu_clk 在跳变时间同步性能上有明显的提高,为整个系统的性能提高打下了良好的基础。8.2.2 指令寄存器顾名思义,指令寄存器用于寄存指令。指令寄存器的触发时钟是clk1,在clk1的正沿触发下,寄存器将数据总线送来的指令存入高8位或低8位寄存器中。但并不是每个clk1的上升沿都寄存数据总线的数据,因为数据总线上有时传输指令,有时传输数据。什么时候寄存,什么时候不寄存由CPU状态控

13、制器的load_ir信号控制。load_ir信号通过ena 口输入到指令寄存器。复位后,指令寄存器被清为零。每条指令为2个字节,即16位。高3位是操作码,低13位是地址。(CPU的地址总线为13位,寻址空间为8K字节。)本设计的数据总线为8位,所以每条指令需取两次。先取高8位,后取低8位。而当前取的是高8位还是低8位,由变量state记录。state为零表示取的高8位,存入高8位寄存器,同时将变量state置为1。下次再寄存时,由于state为1,可知取的是低8位,存入低8位寄存器中。其VerilogHDL 程序见下面的模块:/-module register(opc_iraddr,data,

14、ena,clk1,rst);output 15:0 opc_iraddr;input 7:0 data;input ena, clk1, rst;reg 15:0 opc_iraddr;reg state;always (posedge clk1)beginif(rst)beginopc_iraddr=16b0000_0000_0000_0000;state=1b0;endelsebeginif(ena) /如果加载指令寄存器信号load_ir到来,begin /分两个时钟每次8位加载指令寄存器casex(state) /先高字节,后低字节1b0: begin opc_iraddr15:8=d

15、ata; state=1; end1b1: begin opc_iraddr7:0=data; state=0; enddefault: beginopc_iraddr15:0=16bxxxxxxxxxxxxxxxx;state=1bx;endendcaseendelsestate=1b0;endendendmodule/-8.2.3.累加器累加器用于存放当前的结果,它也是双目运算其中一个数据来源。复位后,累加器的值是零。当累加器通过ena口收到来自CPU状态控制器load_acc信号时,在clk1时钟正跳沿时就收到来自于数据总线的数据。其VerilogHDL 程序见下面的模块:/-modul

16、e accum( accum, data, ena, clk1, rst);output7:0accum;input7:0data;input ena,clk1,rst;reg7:0accum;always(posedge clk1)beginif(rst)accum=8b0000_0000; /Resetelseif(ena) /当CPU状态控制器发出load_acc信号accum=data; /Accumulate endendmodule8.2.4.算术运算器算术逻辑运算单元 根据输入的8种不同操作码分别实现相应的加、与、异或、跳转等8种基本操作运算。利用这几种基本运算可以实现很多种其它

17、运算以及逻辑判断等操作。其VerilogHDL 程序见下面的模块: /-module alu (alu_out, zero, data, accum, alu_clk, opcode);output 7:0alu_out;output zero;input 7:0 data, accum;input 2:0 opcode;input alu_clk;reg 7:0 alu_out;parameter HLT =3b000,SKZ =3b001,ADD =3b010,ANDD =3b011,XORR =3b100,LDA =3b101,STO =3b110,JMP =3b111;assign z

18、ero = !accum;always (posedgealu_clk)begin /操作码来自指令寄存器的输出opc_iaddr的低3位casex (opcode) HLT: alu_out=accum;SKZ: alu_out=accum;ADD: alu_out=data+accum;ANDD: alu_out=data&accum;XORR: alu_out=dataaccum;LDA: alu_out=data;STO: alu_out=accum;JMP: alu_out=accum;default: alu_out=8bxxxx_xxxx;endcaseendendmodule/

19、-8.2.5.数据控制器数据控制器的作用是控制累加器数据输出,由于数据总线是各种操作时传送数据的公共通道,不同的情况下传送不同的内容。有时要传输指令,有时要传送RAM区或接口的数据。累加器的数据只有在需要往RAM区或端口写时才允许输出,否则应呈现高阻态,以允许其它部件使用数据总线。 所以任何部件往总线上输出数据时,都需要一控制信号。而此控制信号的启、停,则由CPU状态控制器输出的各信号控制决定。数据控制器何时输出累加器的数据则由状态控制器输出的控制信号datactl_ena决定。其VerilogHDL 程序见下面的模块:/-module datactl (data,in,data_ena);o

20、utput 7:0data;input 7:0in;input data_ena;assign data = (data_ena)? In : 8bzzzz_zzzz;endmodule/-8.2.6.地址多路器地址多路器用于选择输出的地址是PC(程序计数)地址还是数据/端口地址。每个指令周期的前4个时钟周期用于从ROM中读取指令,输出的应是PC地址。后4个时钟周期用于对RAM或端口的读写,该地址由指令中给出。地址的选择输出信号由时钟信号的8分频信号fetch提供。其VerilogHDL 程序见下面的模块: /-module adr(addr,fetch,ir_addr,pc_addr);ou

21、tput 12:0 addr;input 12:0 ir_addr, pc_addr;input fetch;assign addr = fetch? pc_addr : ir_addr;endmodule/-8.2.7.程序计数器程序计数器用于提供指令地址。以便读取指令,指令按地址顺序存放在存储器中。有两种途径可形成指令地址:其一是顺序执行的情况,其二是遇到要改变顺序执行程序的情况,例如执行JMP指令后,需要形成新的指令地址。下面就来详细说明PC地址是如何建立的。复位后,指令指针为零,即每次CPU重新启动将从ROM的零地址开始读取指令并执行。每条指令执行完需2个时钟,这时pc_addr已被增

22、2,指向下一条指令。(因为每条指令占两个字节。)如果正执行的指令是跳转语句,这时CPU状态控制器将会输出load_pc信号,通过load口进入程序计数器。程序计数器(pc_addr)将装入目标地址(ir_addr),而不是增2。其VerilogHDL 程序见下面的模块:/-module counter ( pc_addr, ir_addr, load, clock, rst);output 12:0 pc_addr;input 12:0 ir_addr;input load, clock, rst;reg 12:0 pc_addr;always ( posedge clock or posed

23、ge rst )beginif(rst)pc_addr=13b0_0000_0000_0000;elseif(load)pc_addr=ir_addr;elsepc_addr = pc_addr + 1;endendmodule/-8.2.8.状态控制器图8.2.8状态控制器状态控制器由两部分组成: 状态机(上图中的MACHINE部分) 状态控制器(上图中的MACHINECTL部分)状态机控制器接受复位信号RST,当RST有效时通过信号ena使其为0,输入到状态机中停止状态机的工作。状态控制器的VerilogHDL程序见下面模块:/-module machinectl( ena, fetch,

24、 rst);output ena;input fetch, rst;reg ena;always (posedge fetch or posedge rst)beginif(rst)ena=0;elseena=1;endendmodule/-状态机是CPU的控制核心,用于产生一系列的控制信号,启动或停止某些部件。CPU何时进行读指令读写I/O端口,RAM区等操作,都是由状态机来控制的。状态机的当前状态,由变量state记录,state的值就是当前这个指令周期中已经过的时钟数(从零计起)。 指令周期是由8个时钟周期组成,每个时钟周期都要完成固定的操作。1) 第0个时钟,因为CPU状态控制器的输出

25、:rd和load_ir为高电平,其余均为低电平。指令寄存器寄存由ROM送来的高8位指令代码。2) 第1个时钟,与上一时钟相比只是inc_pc从0变为1故PC增1,ROM送来低8位指令代码,指令寄存器寄存该8位代码。3) 第2个时钟,空操作。4) 第3个时钟,PC增1,指向下一条指令。若操作符为HLT,则输出信号HLT为高。如果操作符不为HLT,除了PC增一外(指向下一条指令),其它各控制线输出为零。5) 第4个时钟,若操作符为AND、ADD、XOR或LDA,读相应地址的数据;若为JMP,将目的地址送给程序计数器;若为STO,输出累加器数据。6) 第5个时钟,若操作符为ANDD、ADD或XORR

26、,算术运算器就进行相应的运算;若为LDA,就把数据通过算术运算器送给累加器;若为SKZ,先判断累加器的值是否为0,如果为0,PC就增1,否则保持原值;若为JMP,锁存目的地址;若为STO,将数据写入地址处。7) 第6个时钟,空操作。8) 第7个时钟,若操作符为SKZ且累加器值为0,则PC值再增1,跳过一条指令,否则PC无变化。 状态机的VerilogHDL 程序见下面模块:/-module machine( inc_pc, load_acc, load_pc, rd,wr, load_ir,datactl_ena, halt, clk1, zero, ena, opcode );output inc_pc, load_acc, load_pc, rd, wr, load_ir;output datactl_ena, halt;input clk1, zero, ena;input 2:0 opcode;reg inc_pc, load_acc, load_pc, rd, wr, load_ir;reg datactl_ena, halt;reg 2:0 state;parameter HLT = 3 b000, SKZ = 3 b001, ADD = 3 b010, ANDD = 3

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1