ImageVerifierCode 换一换
格式:DOCX , 页数:9 ,大小:294.04KB ,
资源ID:4910196      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4910196.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数电组合逻辑实验.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

数电组合逻辑实验.docx

1、数电组合逻辑实验 西安交通大学实验报告 第 页(共 页)课程 电子技术实验 实 验 日 期 : 年 月 日专业班号: 电气12 组别: 交报告日期: 年 月 日姓 名: 高加西 学号: 2110401039 报 告 退 发 : (订正、重做)同 组 者_ 教师审批签字:实验名称: 基于HDL的组合逻辑实验 4.1逻辑门实验4.1.1 实验目的 学习使用ISE软件生成一个新工程文件 学习使用HDL进行电路设计 学会编辑顶层文件和用户约束文件 熟悉仿真及综合及实现还有FPGA配置等 熟悉在BASYS2开发板上的简单外围设备的控制4.1.2实验代码使用HDL设计新的逻辑功能。源文件如下:module

2、 gate2( input a, input b, input c, input d, output z );assign z=(a&b)|(c&d);endmodule约束文件如下:NETaLOC=P11;NETbLOC=L3;NETcLOC=K3;NETdLOC=B4;NETzLOC=M5;仿真测试文件如下:#100a=0;b=0;c=0;d=1;#200a=0;b=0;c=1;d=0;#200a=0;b=0;c=1;d=1;#200a=0;b=1;c=0;d=0;#200a=0;b=1;c=0;d=1;#200a=0;b=1;c=1;d=0;#200a=0;b=1;c=1;d=1;#20

3、0a=1;b=0;c=0;d=0;#200a=1;b=0;c=0;d=1;#200a=1;b=0;c=1;d=0;#200a=1;b=0;c=1;d=1;#200a=1;b=1;c=0;d=0;#200a=1;b=1;c=0;d=1;#200a=1;b=1;c=1;d=0;#200a=1;b=1;c=1;d=1;波形如下:4.3多路选择器实验源文件如下:module MUX( input wire a, input wire b, input wire c, input wire d, input wire s1, input wire s2, output wire y ); assign

4、y=(a&(s1)&(s2)|(b&(s1)&(s2)|(c&(s1)&(s2)|(d&(s1)&(s2); endmodule约束文件如下:NETs1LOC=P11;NETs2LOC=L3;NETaLOC=K3;NETbLOC=B4;NETcLOC=G3;NETdLOC=F3;NETyLOC=M5;仿真测试文件如下:#100a=1;b=0;c=0;d=0;s1=0;s2=0;#400a=0;b=1;c=0;d=0;s1=0;s2=1;#400a=0;b=0;c=1;d=0;s1=1;s2=0;#400a=0;b=0;c=0;d=1;s1=1;s2=1; end结果截图如下:4.3七段译码器实

5、验实验代码如下:module x7seg(input wire7:0x,input wire clk,input wire clr,output reg6:0a_to_g,output reg3:0an );wire 1:0s;reg 3:0digit;reg19:0clkdiv;assign s=clkdiv19:18;always(*)case(s)0:digit=x7:4;1:digit=x3:0;2:digit=0;3:digit=0;default:digit=x7:4;endcasealways(*)case(digit)0:a_to_g=7b0000001;1:a_to_g=7b

6、1001111;2:a_to_g=7b0010010;3:a_to_g=7b0000110;4:a_to_g=7b1001100;5:a_to_g=7b0100100;6:a_to_g=7b0100000;7:a_to_g=7b0001111;8:a_to_g=7b0000000;9:a_to_g=7b0000100;hA:a_to_g=7b0001000;hB:a_to_g=7b1100000;hC:a_to_g=7b0110001;hD:a_to_g=7b1000010;hE:a_to_g=7b0110000;hF:a_to_g=7b0111000;default:a_to_g=7b000

7、0001;endcasealways(*)beginan=4b1111;ans=0;endalways(posedge clk or posedge clr)beginif(clr=1)clkdiv=0;elseclkdiv=clkdiv+1;endendmodule约束文件如下:NETa_to_g0LOC=M12;NETa_to_g1LOC=L13;NETa_to_g2LOC=P12;NETa_to_g3LOC=N11;NETa_to_g4LOC=N14;NETa_to_g5LOC=H12;NETa_to_g6LOC=L14;NETan3LOC=K14;NETan2LOC=M13;NETan1LOC=J12;NETan0LOC=F12;NETclkLOC=B8;NETclrLOC=G12;NETx0LOC=P11;NETx1LOC=L3;NETx2LOC=K3;NETx3LOC=B4;NETx4LOC=G3;NETx5LOC=F3;NETx6LOC=E2;NETx7LOC=N3;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1