ImageVerifierCode 换一换
格式:DOCX , 页数:21 ,大小:713.03KB ,
资源ID:4776591      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4776591.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA综合设计.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA综合设计.docx

1、EDA综合设计EDA设计多功能数字钟的设计姓名: 学号:同组人:院系:自动化学院指导老师:谭雪琴 蒋萍时间: 2011-5摘要:此次EDA实验我们用软件Quartus和配套的实验平台,来设计24小时的数字钟。在软件上完成相应电路的搭建及仿真,调试后下载到实验平台上进行实际测试。我设计的数字钟具有24小时计时、校分、校时、整点报时功能。以上的功能都下载到实验平台上成功演示出来。我还设计了闹钟功能,在仿真时很成功,但后来下载到实验平台上有问题。ABSTRACT:During this experiment I learn to use a software named Quartus which

2、is completely unfamiliar to me. After about four days work I design a digital clock which has the basic functions as counting,resetting hour, resetting minute, ring at each hour. I also design a block which is about setting the alarm. The block is successfully stimulated but has some unknown problem

3、s when down loaded into the platform. I account for this in detail later.关键字:多功能数字钟,校分,校时,整点报时,闹钟KEY WORDS: DIGITAL CLOCK, RINGING, ALARM正文11 设计要求说明12 符号说明13 方案论证24 48MHz1Hz 模块的设计及仿真45 数字钟时、分、秒为的设计66 24选4和动态显示模块的设计及仿真87 整点报时模块的设计98 模块的设计及仿真99 闹铃模块的设计和仿真1010 试下载15实验感想15注解17参考文献17致谢17正文1.设计要求说明利用Quart

4、usII软件设计一个数字钟,并下载到SmartSOPC实验系统中。本次EDA实验要求设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。 设计基本要求如下:1)能进行正常的时、分、秒计时功能;2)分别由六个数码管显示时分秒的计时;3)K1是系统的使能开关(K1=0正常工作,K1=1时钟保持不变);4)K2是系统的清零开关(K2=0正常工作,K2=1时钟的分、秒全清零);5)K3是系统的校分开关(K3=0正常工作,K3=1时可以快速校分);6)K4是系统的校时开关(K4=0正常工作,K4=1时可以快速校

5、时);设计提高部分要求7)使时钟具有整点报时功能(当时钟计到5953”时开始报时,在5953”, 5955”,5957” 时报时频率为512Hz,5959”时报时频率为1KHz, );8)闹表设定功能;9)自己添加其他功能;2.符号说明使用符号意义使用符号意义KEY1机械开关K1KEY5设定闹铃的分时位KEY2机械开关K2KEY6设定闹铃的小时位KEY3机械开关K3KEY7定时、计时切换开关KEY4机械开关K4BEEP蜂鸣器HHD时十位BCD码DHLD时个位BCD码DHHC时十位BCD码CHLC时个位BCD码CHHB时十位BCD码BHLB时个位BCD码BHHA时十位BCD码AHLA时个位BCD

6、码AMHD分十位BCD码DMLD分个位BCD码DMHC分十位BCD码CMLC分个位BCD码CMHB分十位BCD码BMLB分个位BCD码BMHA分十位BCD码AMLA分个位BCD码ASHD秒十位BCD码DSLD秒个位BCD码DSHC秒十位BCD码CSLC秒个位BCD码CSHB秒十位BCD码BSLB秒个位BCD码BSHA秒十位BCD码ASLA秒个位BCD码A3.方案论证3.1 脉冲分频电路的方案脉冲分频电路的主要目的是把最初的48MHz的频率分为1KHz(用于驱动数码管和蜂鸣器报时用)、512Hz(用于蜂鸣器报时)、2Hz(用于快速校分和校时)和1Hz(用于作秒脉冲)。设计思路:先设计个模48计数

7、器,再设计模1000计数器。模48计数器用两片74160芯片配若干门电路。模1000计数器用三片74160芯片配以若干门电路。方框图如下3.2 模60计数器的设计方案设计思路:用两片74160芯片加上少数门电路构成模60计数器,并且把相应的保持、校分、清零功能做上去。3.3 报时电路的设计方案使时钟具有整点报时功能:当时钟计到5953”时开始报时,在5953”, 5955”,5957” 时报时频率为512Hz, 5959”时报时频率为1KHz。表1 整点报时逻辑TIMEMHCMHAMLDMLASHDSHCSHBSHASLDSLCSLBSLA5953”1111010100115955”11110

8、10101015957”1111010101115959”111101011010由上表可以看出,Fout=MHC*MHA*MLD*MLA*SHC*SHA*(SLC*SLA+SLB*SLA)*F512Hz+ SLD*SLA*F1KHz3.4 显示译码电路的设计方案显示译码电路由4个81MUX数据选择器、1个模6分频器、1个显示译码器7447组成、6个数码管。考虑到数码管个数较多,所以采用动态译码显示。3.5 闹铃模块的设计方案设计一个独立于正常计时模块外的计时模块。正常时,显示时钟的时间,闹铃模块里锁存这设定的闹铃时间,比较器工作,时刻查询比较。当时钟时间和设定的时间相同时比较器给出信号,蜂鸣

9、器响。设计类似校分校时的模块,设定闹钟时间。3.6 整个电路的模块结构图6 整个电路的模块方框图4.48MHz1Hz模块的设计及仿真4.1 MOD48计数器由两个74160计数器构成,搭成如下电路图。图7 MOD48电路图图8 MOD48电路图的仿真结果4.2 MOD1000由三个74160计数器构成,搭成如下电路图。图9 MOD1000电路图图10 MOD1000电路图的仿真结果4.3 MOD48M将上述模块接连起来,并引出其他有用的频率。搭建如下电路图。图11 MOD48M电路图产生2Hz和512Hz的思想:在最后一个MOD1000计数器的输出端引QB做为2Hz的信号。把1KHz的频率经过

10、T触发器进行分频,上图中T触发器的方程式。5.数字钟时、分、秒为的设计5.1 MOD24计数器的设计及仿真模24模块用于小时位。它要设计保持、校时、清零功能,无需设计进位信号。采用的是74160的清零功能,所以清零信号产生是小时高位出现0110。由于74160本片的进位信号是与1001同时出现的。所以用了D触发器来延时。保持功能是用74160自身的保持功能ENP输入端。图12 MOD24计数器电路图图13 校时与保持电路图校时功能要把来自开关的校时信号和正常的低位片产生的进位信号整合起来。当KEY4为高电平时,2Hz的校分信号可以通过,分为进位信号MRCO屏蔽;当KEY4为高电平时,2Hz的校

11、分信号被屏蔽,分为进位信号MRCO通过。图14 MOD24计数器电路图的仿真图5.2 MOD60计数器构成秒位的设计及仿真由MOD60模块设计秒位计数器原理图及仿真图如下。其清零信号是当高位出现0110。进位信号是在59时产生的,即出现0101 1001。进位信号经个D触发器延时后,在整60送出。保持功能同前分析。图15 MOD60计数器秒位电路图图16 MOD60计数器秒位电路图的仿真5.3 MOD60计数器设计分位计数器原理图及仿真图清零、保持功能和校分模块同前分析。图17 MOD60计数器分位电路图图18 MOD60计数器分位电路图的仿真6.24选4和动态显示模块的设计及仿真实验中数码管

12、的显示是采用动态驱动显示的,这样就设计到了数据的选择。对引入模块的1KHz用74160进行6分频,用来驱动数码管的片选信号。74160输出的A2、A1、A0三个信号是8选1选择器81mux和片选74138的公共输入信号。图19 24选4电路图图20 24选4电路图的仿真7.整点报时模块的设计分析参见前面的方案论证部分。图21 整点报时电路图8.总模块的设计及仿真图22 总的模块电路图图23 总的模块电路图的仿真从图中可以看出,总体的电路是可以正常工作,满足基本的设计要求:KEY1高电平时数码保持不变,KEY2高电平时时位分位清零,KEY3高电平时快速校分,KEY4高电平时快速校时。在59分53

13、、55、57秒均有512Hz的脉冲输出,在59分59秒有1KHz的高频脉冲输出。9.闹铃模块的设计和仿真设计思路:闹铃模块是独立于上述数字钟的另一个数字钟,即设计另一个不带秒位的时钟。由于实验板上总共有8个LED数码管,所以显示采用复用方式:正常显示为时钟时间,切换后显示定时时间。这里起切换作用的由开关KEY5充当。当KEY7为低电平时:显示时钟时间;闹钟定时的时位、分位输出保持;4片8位数值比较器工作。当KEY7为高电平时:显示闹钟设定的时间,并且只有在此时才能设定闹钟,时钟正常计时,只是不显示。闹铃模块的总体图如下:图24 闹铃电路图表2 模块中使用的符号说明符号意义符号意义HHAT数字钟

14、小时十位HHD闹钟定时小时十位HHBT数字钟小时十位HHC闹钟定时小时十位HHCT数字钟小时十位HHB闹钟定时小时十位HHDT数字钟小时十位HHA闹钟定时小时十位HLAT数字钟小时个位HLD闹钟定时小时个位HLBT数字钟小时个位HLC闹钟定时小时个位HLCT数字钟小时个位HLB闹钟定时小时个位HLDT数字钟小时个位HLA闹钟定时小时个位MHAT数字钟分钟十位MHA闹钟定时分位十位MHBT数字钟分钟十位MHB闹钟定时分位十位MHCT数字钟分钟十位MHC闹钟定时分位十位MHDT数字钟分钟十位MHD闹钟定时分位十位MLAT数字钟分钟个位MLA闹钟定时分位个位MLBT数字钟分钟个位MLB闹钟定时分位个

15、位MLCT数字钟分钟个位MLC闹钟定时分位个位MLDT数字钟分钟个位MLD闹钟定时分位个位用4片8位比较器7485构成的比较电路如下:图25 闹铃电路图中的32位比较器分析其功能:COMPARE是与KEY7进过反相器相接的。当KEY7为高电平时,COMPARE为低电平,这样第一片7485三个级联输入端ALBI,AEBI,AGBI都为低电平,输出ALBO,AEBO,AGBO也都为低,如此下去,整个比较器都不工作,最后的输出E3也为0;当KEY7为低电平时,COMPARE为高电平,这样第一片7485三个级联输入端AEBI为高电平,输出ALBO,AEBO,AGBO则根据小时的高位来比较输出:设定的闹

16、铃时间,其小时的高位比实际的时间小时高位小或大,第一片7485输出的E0为0,后面几片E1、E2、E3都为0;若设定闹铃时间,其小时的高位比实际的时间小时高位相等,第一片7485输出的E0为1,后面几片的分析类似上面。图26 闹铃电路图中的比较结果输出电路当比较器输出“相等”信号,即E3=1时,上面的电路开始工作。该电路的主要功能是当闹铃时间到时,输出频率有变化的蜂鸣信号。电路简单,就不再赘述了。图27 闹铃电路图中的输入量上图是对输入该模块的几个信号的说明:该模块用到2Hz,512Hz,1KHz,KEY5,KEY6,KEY7。图28 闹铃电路图中的计时器由于该模块这涉及显示和比较,不用把时位

17、和分位连接,故没有进位信号。图29 闹铃电路图生成的电路单元这是闹铃模块生成的模块图,用到很多的输入和输出。图30 闹铃电路图的仿真结果由图可见:在KEY7为低电平时,设定时间的信号KEY5是不起作用的,而比较器工作,蜂鸣器有输出。在KEY7为高电平时,KEY5设定分位,KEY6设定时位,比较器不工作,E3输出0。切换显示图31 闹铃模块与正常电路的切换开关切换显示电路用简单的逻辑门搭建。KEY7为1时,显示闹铃设定的时间,KEY7为0时,显示数字钟的时间。图32 切换开关阵列由类似门电路搭建的庞大的切换模块。由于闹铃中没有设置秒位,所以把闹铃中的秒位都设为零。见下图:图33 闹铃模块中秒位接

18、零示意图10.调试下载将电路调试好后,分配管脚,实验平台通上电,下载到实验平台上。【1】实验感想1.实验过程中遇到的问题及解决问题的方法1.1 模60计数器的进位与清零模60计数器使用两片74160组成。74160是异步8421BCD码计数器,实验中用的是异步清零法获得模60的计数器。清零条件是高位为0110。刚开始我简单的结成如下的逻辑电路,并且模60计数器低位片向高位片的进位信号直接由低为片的RCO充当。但仿真结果却是图34的结果。从图中可以看出几个问题:一是低位片为8时,即第九个脉的上升沿到来时,高位及有进位输入,产生48跳到59在回到50的错误现象;另一个是模60计数器最大值到58就会

19、到00状态;还有错误的模60进位信号产生。图34 进位信号产生示意图图35 进位信号产生仿真结果我分析问题可能在74160的进位信号RCO的问题。所以我把74160的单个的时序图仿真出来:发现在第九个脉冲到来时同时发出了进位信号。如此我就想是不是可以用以个用一个D触发器,使得进位信号经过D触发器延时一个时钟周期。D触发器接法如图,CLK是与74160公用的时钟脉冲。图36 74160的进位信号RCO仿真 图37 D触发器接入及进位信号RCO仿真从而上述问题便解决了。图38 D触发器接入后进位信号产生仿真结果1.2 机械开关的去抖动将调试好的文件下载到SmartSOPC实验系统中可编程逻辑器件上

20、,它能正常工作,有校分、校时、保持和清零。但是在拨动开关校分、校时,数码管数字有跳动。认为是机械开关抖动引起的干扰。设计消颤开关。【2】图39 D触发器用于去抖动电路接入消颤开关后,拨动开关校分、校时,数码管数字稳定,没有干扰。消颤效果明显。2.实验的收获与感受通过这次实验,我了解并掌握了Quartus软件的基本使用。在搭建电路图的过程中,我又复习了大二学习过的数电知识,加深了对某些芯片的了解,例如74160,7485等。更为重要的是,在做实验的过程中,我明白了分析问题解决问题的确实意义及其重要性。当所搭建的电路出现了问题后,要先从仿真图分析问题,在脑子中描述出问题。然后分析问题产生的原因及可

21、能产生问题的部件,再从部件特性、原理等查找问题。此外,在写论文的过程中,由于老师是按照毕业论文的规范要求我们的,因此有了锻炼的机会,使得我写作科技类文章的技巧提高,经验积累。这次实验也算是把所学的知识用于实际的一个机会吧。体会特别深的是那句老话:纸上得来终觉浅,缘知此事要躬行。学习能力和动手能力不是一回事,它们没有必然联系,之前只知道学习读书,没有注意加强动手能力的锻炼,这次实验体会深的还有:要多动手操练才是硬道理。3.期望及要求今后还有机会实验时,希望老师多介绍些Quartus软件的使用。还有,我很希望学习下VHDL语言,希望今后有机会学到。注解1具体步骤参见EDA设计实验指导书, 南京理工大学,电子技术中心,2011年4月。2消颤设计,参见数字逻辑电路与系统设计,蒋立平主编,电子工业出版社。第178页,触发器应用例举。参考文献【1】蒋立平主编 数字逻辑电路与系统设计 电子工业出版社 2009【2】EDA设计II讲稿(数字钟)【3】南京理工大学电子技术中心 EDA设计实验指导书 2011.4【4】EDA实验多功能数字钟 05级董怡致谢实验中得到老师及同学的帮助,在此深表谢意。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1