ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:188.58KB ,
资源ID:4769994      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4769994.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(用数据选择器设计组合逻辑电路.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

用数据选择器设计组合逻辑电路.docx

1、用数据选择器设计组合逻辑电路用数据选择器设计组合逻辑电 路用数据选择器设计组合逻辑电路、用一片四选一数据选择器实现逻辑函数: 丫 AB/C/ AC BC 要求写出分析与计算过程并画出连线图四选一数据选择器的功能表及逻辑图如下图所示。 (10 分)解一:(1) 选A、B作为数据选择器的地址码 A、A,将逻辑函数变形为:Y AB/C/ AC BCAB/C/ A/B/C/ A/BC/ ABC ABCA/B/(C/) A/B(1) AB/(C/) AB(C)(2)将变形后的逻辑函数与四选一数据选择器的输出逻辑式进行比较得:Do C/;D1 1;D2 C/;D3 C(3)连接电路:C fDoD66s州、

2、li IP I解二:(1)(2 分)、写出四选一数据选择器的逻辑表达式:(2)d2 yrsAo(3) 、把所求逻辑函数的表达式变形:(4分)Y AB/C/ AC BCAB/C/ A/B/C/ A/BC/ ABC ABC (A/B/)C/ (A/B) 1 (AB/)C/ (AB)C(4)、确定电路连接:(4分) 将上述两个表达式进行比较,可知应令:S 1,即 S/ 0A A1; B AoDo C/;D1 1;D2 C/;D3 C(5)、画出连接图:(2分) 、试用一片四选一数据选择器实现逻辑函数:Y ABC AC ABC要求写出详细的设计过程并画出连线图。四选一数据选择器的功能表及逻辑图如图(a

3、)、(b)所示。(10分)1X X 0QD 0 600 1 纠01 0 601 1 A图(a)解:(1) 、把所求逻辑函数的表达式变形:(4分)(2) )与四选一数据选择器的逻辑表达式进行比较,确定电路连接: (4分)(3) 、画出连接图:(2分)解:(1) 、把所求逻辑函数的表达式变形:(4分)YABC AC ABCABC ABC ABC ABC(AB)C (AB)C (AB)C (AB)C(2)、确定电路连接:(4分)四选一数据选择器的逻辑表达式为:Y(Doa/aO DiAi/Ao D2A1A0 D3A1A0) S两个表达式进行比较,可知应令:S 0 ; A A1 ; B Ao ; D C

4、; Di C; D2 C; D3 C(3)、画出连接图:(2分)A B三、试用双4选1数据选择器CC14539实现组合逻辑函数:乙 ABC ABC AB C BCZ2 A BC AB C AB 已知CC14539的逻辑功能表达式和方框图如下:丫1 ( A1 A0 D10 A1A0 D11 A1 A0 D12 A1 A0 D13 )Si丫2 (Ai Ao D 20 Ai Ao D 2i A1A0D22 Ai Ao D 23) S2四、用一片四选一数据选择器设计一个 3变量的多数表决电路。要求写出分析与计算过程并画出连线图。四选一数据选择器的功能表及逻辑图如下图所示(15 分)DoD2 丫6SAq

5、解:(1)列写真值表:设参加表决的三个人分别用 A、B和C来表示,表决结果用丫表示;个人同意与表决通过均用1表示,个人不同意与表决没通过均用 0表示。A E CY0 0 000 0 100 1 iD00 1 111 0 001 0 1111011 1 11(2)列写表达式:Y A/BC AB/C ABC/ ABC(3) 选用B、C作为四选一数据选择器的地址码 A、A),将逻辑函数变形为: 丫 A/ BC AB/C ABC/ ABC(0)B/C/ (A)B/C (A)BC/ (1)BC(4)将变形后的逻辑函数与四选一数据选择器的输出逻辑式进行比较得:丫 DAi Ao Di A Ao D2 Ai

6、Ao D3A1A0Do 0; Di A; D2 A; D3 1(5)电路连线图;Di6s州A五、试用8选1数据选择器74HC151产生逻辑函数Y ABC/ A/ BC Aby d0a2a1/a0 d1a2a1/a0D4a?a1 a0 D5 a?A0 D6 A? A1 a0 D7A2AA0 W Y/D3 A? A| AoD 7 A? A1 Aq解:(1) 选A、B、C作为数据选择器的地址码 A A、A),将逻辑函数变形为:Y ABC/ A/ BC A/BABC/ A/BC A/BC/(0)A/B/C/ (0)A/B/C (1)A/ BC/ (1)A/BC(0)AB/C/ (0)AB/C (1)A

7、BC/ (0)ABC(2)将变形后的逻辑函数与四选一数据选择器的输出逻辑式进行比较得:y d0a2a1/a(0 d1a?a1/a0 d2a?a1a0D4A2A1 A0 D5 A? A1 A0 D 6 A? A1A0(3)连接电路:A AwY.sTADA,74HC15101 c f D 口2 W W u5 w L1k 1ii B:试用八选一数据选择器74LS151实现如下逻辑函数:Y ABC/ A/BC A/B。74LS151的管脚排列图如下。(图中16脚VCC是电源正端;8脚GND是电源地端;4、3、2、1、15、14、13、12 脚 D0D7八个数据输入端;9、10、11 脚 C、B、A是三

8、个地址输入端;5脚丫是原码数据输出端;6脚W是反码数据输出端;7脚G是附加控制端,低电平有效。解:(1) 选A、B、C作为数据选择器的地址码 C、B、A,将逻辑函数变形为:Y ABC/ A/ BC A/BABC/ A/BC A/BC/(0)A/B/C/ (0)A/B/C (1)A/ BC/ (1)A/BC(0)AB/C/ (0)AB/C (1)ABC/ (0)ABC(2)将变形后的逻辑函数与八选一数据选择器的输出逻辑式进行比较得:(3)D0 D1 D4 D5 D7 0; D2 D3 D6 1六、用8选1数据选择器74HC151设计一个组合逻辑电路。该电路有 3个输入逻辑变量A B、C和1个工作

9、状态控制变量 M当M=0时电路实现“意见一致”功能(A、B、C状态一致时输出为1,否则输出为0),而M=1时电路实现“多数 表决”功能,即输出与 A B C中多数的状态一致。(15分)已知74HC151在控制端S/ 0(S 1)的情况下,输出的逻辑表达式为:y Doa2aa0 D1a2a;Ao D2A2A1AO D3A2A1A0D4A2a1 a0 D5 A2a7 A0 D6 a? A1A0 D7A2AA0Y/解:1、( 15 分)(1)列写真值表(3分)ABCYABCY0000L10000000101ocn.000100101000Oil01Oil10100011000010101101101

10、100111010111011111(2)列写表达式(3分)Y M/(A/B/C/ ABC) M (A/BC AB/C ABC/ ABC)化简得:Y 皿鼻乜/ MA/BC MAB/C MABC/ ABC变形为:Y M /A/B/C/ O A/B/C O A/BC/ MA/BCO AB/C/ MAB/C MABC/ 1 ABC(3)确定连线(3分)74HC151的逻辑表达式为:y doa2a1/a0 dANA d2a2aaO d3a2a1a0 d4a2AaOD5A2 A7 AO D6 A2A1 AO D7 A2 Al AO比较可知: 令 A A, A B, Ao C 令数据选择器的输入数据为:D

11、o M ,D7 O,D2 O,D3 M ,D4 O,D5 M,D6 M,D7 1(4)电路连线图为:(6 分)74HC151a2A】 74HC151 Y宀比D? D3 D斗比D卢七、设计一位8421BCD码的判奇电路,当输入码为奇数时,输出为1,否则为0要求使用一片8选1数据选择器74HC151加若干门电路实现,画出电路图。丫 DoA2a/a0 D1A2A/A0 D2A2A1AO D3A2AA0D 5 A2 A1A0 D6 A? Ai Ao D7A2AA)D 4 A? A1 AoW Y/CDA3X 00 01 H 10003、7-4LS151D-ABCD ABCD BCD BCD BCD用一片8选1数据选择器74LS151加若干门电路实现。八、试用八选一数据选择器 74LS151实现逻辑函数:(1)八选一数据选择器的输出逻辑写为:(2)将 F AB,C,D m(3,4,5,6,7,8,9,10,12,14)化成与上式对应的形式:Y ABC DABC DABCD ABC DABC DABC DABCD ABC D ABC D ABC DABC 1ABC DAbc 1ABC 1 ABC D ABC D ABC D(3 )将以上两式比较,只要令A2 A, a B, Ao C,且令Do 0和D1 D3 D41,D2D,D5 D6 D7 D,则数据选择器的输出即为所需的逻辑函数

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1